-
Notifications
You must be signed in to change notification settings - Fork 4
/
dedekind.qsf
93 lines (91 loc) · 6.15 KB
/
dedekind.qsf
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
set_global_assignment -name TOP_LEVEL_ENTITY streamingCountConnectedCore
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 21.4.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:22:50 MARCH 08, 2022"
set_global_assignment -name LAST_QUARTUS_VERSION "20.4.0 Pro Edition"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro"
set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis
set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis
set_global_assignment -name EDA_SIMULATION_TOOL "QuestaSim (Verilog)"
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
# 520N Settings
set_global_assignment -name FAMILY "Stratix 10"
set_global_assignment -name DEVICE 1SG280HN2F43E2VG
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
set_global_assignment -name ENABLE_OCT_DONE OFF
set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "AVST X32"
set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 1.8V
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
set_global_assignment -name ENABLE_ED_CRC_CHECK ON
set_global_assignment -name USE_CONF_DONE SDM_IO16
set_global_assignment -name USE_INIT_DONE SDM_IO0
set_global_assignment -name ACTIVE_SERIAL_CLOCK AS_FREQ_100MHZ
set_global_assignment -name DEVICE_INITIALIZATION_CLOCK OSC_CLK_1_125MHZ
set_global_assignment -name MINIMUM_SEU_INTERVAL 0
set_global_assignment -name USE_PWRMGT_SCL SDM_IO14
set_global_assignment -name USE_PWRMGT_SDA SDM_IO11
set_global_assignment -name USE_PWRMGT_ALERT SDM_IO12
set_global_assignment -name VID_OPERATION_MODE "PMBUS SLAVE"
set_global_assignment -name PWRMGT_DEVICE_ADDRESS_IN_PMBUS_SLAVE_MODE 01
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 1760
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 2
set_global_assignment -name FLOW_DISABLE_ASSEMBLER ON
set_global_assignment -name VERILOG_FILE src/pcoeffProcessorUnit.v
set_global_assignment -name IP_FILE src/ip/seuDetection.ip
set_global_assignment -name VERILOG_FILE src/cosmicRayDetection.v
set_global_assignment -name VERILOG_FILE src/synchronizer.v
set_global_assignment -name SDC_FILE src/pipelineClock.sdc
set_global_assignment -name VERILOG_FILE src/varSwap.v
set_global_assignment -name VERILOG_FILE src/topManager.v
set_global_assignment -name VERILOG_FILE src/streamingCountConnectedCore.v
set_global_assignment -name VERILOG_FILE src/singletonElimination.v
set_global_assignment -name VERILOG_FILE src/registerPipe.v
set_global_assignment -name VERILOG_FILE src/quadPortBRAM.v
set_global_assignment -name VERILOG_FILE src/popcnt.v
set_global_assignment -name VERILOG_FILE src/pipelineRegister.v
set_global_assignment -name VERILOG_FILE src/pipelineGlobals_header.v
set_global_assignment -name VERILOG_FILE src/pipelinedCountConnectedCore.v
set_global_assignment -name VERILOG_FILE src/pipeline24Pack.v
set_global_assignment -name VERILOG_FILE src/pipeline120Pack.v
set_global_assignment -name VERILOG_FILE src/permutationGenerator.v
set_global_assignment -name VERILOG_FILE src/openCLFullPipelineClock2xAdapter.v
set_global_assignment -name VERILOG_FILE src/OpenCLFullPermutationPipeline.v
set_global_assignment -name VERILOG_FILE src/multiPermutChecks.v
set_global_assignment -name VERILOG_FILE src/monotonize.v
set_global_assignment -name VERILOG_FILE src/memory.v
set_global_assignment -name VERILOG_FILE src/leafElimination_header.v
set_global_assignment -name VERILOG_FILE src/leafElimination.v
set_global_assignment -name VERILOG_FILE src/ipSettings_header.v
set_global_assignment -name VERILOG_FILE src/inputModule.v
set_global_assignment -name VERILOG_FILE src/inlineVarSwap_header.v
set_global_assignment -name VERILOG_FILE src/hyperPipelining.v
set_global_assignment -name VERILOG_FILE src/fullPermutationPipeline.v
set_global_assignment -name VERILOG_FILE src/firstBit.v
set_global_assignment -name VERILOG_FILE src/FIFO.v
set_global_assignment -name VERILOG_FILE src/FastFIFO.v
set_global_assignment -name VERILOG_FILE src/exactlyOne.v
set_global_assignment -name VERILOG_FILE src/countConnectedCore.v
set_global_assignment -name VERILOG_FILE src/computeModule.v
set_global_assignment -name VERILOG_FILE src/collectionQuadPortRAM.v
set_global_assignment -name VERILOG_FILE src/botPermuterWithFIFO.v
set_global_assignment -name VERILOG_FILE src/botPermuter.v
set_global_assignment -name VERILOG_FILE src/botPermuter1234.v
set_global_assignment -name VERILOG_FILE src/aggregatingPipeline.v
set_global_assignment -name VERILOG_FILE src/aggregatingPermutePipeline.v
set_instance_assignment -name PARTITION_COLOUR 4291313151 -to OpenCLFullPermutationPipeline -entity streamingCountConnectedCore
set_instance_assignment -name PLACE_REGION "X1 Y1 X20 Y20" -to countConnectedCore|combinatorialComponent|newSeedProductionPipe
set_instance_assignment -name RESERVE_PLACE_REGION OFF -to countConnectedCore|combinatorialComponent|newSeedProductionPipe
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to countConnectedCore|combinatorialComponent|newSeedProductionPipe
set_instance_assignment -name REGION_NAME newSeedProductionPipe -to countConnectedCore|combinatorialComponent|newSeedProductionPipe
set_instance_assignment -name PLACE_REGION "X1 Y1 X20 Y20" -to countConnectedCore|combinatorialComponent|explorationPipe
set_instance_assignment -name RESERVE_PLACE_REGION OFF -to countConnectedCore|combinatorialComponent|explorationPipe
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to countConnectedCore|combinatorialComponent|explorationPipe
set_instance_assignment -name REGION_NAME explorationPipe -to countConnectedCore|combinatorialComponent|explorationPipe
set_instance_assignment -name PARTITION_COLOUR 4288610213 -to streamingCountConnectedCore -entity streamingCountConnectedCore