From 4369ab3a54fc301d9160ccdfc687dc7f3a5a4fa0 Mon Sep 17 00:00:00 2001 From: Wojciech Tatarski Date: Wed, 2 Jun 2021 13:10:05 +0200 Subject: [PATCH] Add missing license headers Signed-off-by: Wojciech Tatarski --- .github/workflows/build-and-test.sh | 7 +++++++ .github/workflows/common.sh | 8 ++++++++ .github/workflows/format-check.sh | 8 ++++++++ .github/workflows/setup.sh | 8 ++++++++ Makefile | 8 ++++++++ design_introspection-plugin/Makefile | 8 ++++++++ design_introspection-plugin/tests/Makefile | 8 ++++++++ .../tests/get_cells/get_cells.v | 8 ++++++++ design_introspection-plugin/tests/get_count/Makefile | 8 ++++++++ .../tests/get_count/get_count.v | 8 ++++++++ design_introspection-plugin/tests/get_nets/get_nets.v | 8 ++++++++ design_introspection-plugin/tests/get_pins/get_pins.v | 8 ++++++++ .../tests/get_ports/get_ports.v | 8 ++++++++ fasm-plugin/Makefile | 8 ++++++++ fasm-plugin/tests/Makefile | 8 ++++++++ integrateinv-plugin/Makefile | 8 ++++++++ integrateinv-plugin/tests/Makefile | 8 ++++++++ integrateinv-plugin/tests/fanout/fanout.v | 8 ++++++++ integrateinv-plugin/tests/hierarchy/hierarchy.v | 8 ++++++++ integrateinv-plugin/tests/multi_bit/multi_bit.v | 8 ++++++++ integrateinv-plugin/tests/single_bit/single_bit.v | 8 ++++++++ integrateinv-plugin/tests/toplevel/toplevel.v | 8 ++++++++ params-plugin/Makefile | 8 ++++++++ params-plugin/tests/Makefile | 8 ++++++++ params-plugin/tests/compare_output_json.py | 9 +++++++++ params-plugin/tests/pll/pll.v | 8 ++++++++ params-plugin/tests/pll/techmaps/cells_map.v | 8 ++++++++ params-plugin/tests/pll/techmaps/cells_sim.v | 8 ++++++++ ql-iob-plugin/Makefile | 8 ++++++++ ql-iob-plugin/tests/Makefile | 8 ++++++++ ql-iob-plugin/tests/ckpad/Makefile | 8 ++++++++ ql-iob-plugin/tests/ckpad/design.v | 8 ++++++++ ql-iob-plugin/tests/common/pp3_cells_map.v | 8 ++++++++ ql-iob-plugin/tests/common/pp3_cells_sim.v | 8 ++++++++ ql-iob-plugin/tests/sdiomux/Makefile | 8 ++++++++ ql-iob-plugin/tests/sdiomux/design.v | 8 ++++++++ ql-qlf-plugin/Makefile | 8 ++++++++ ql-qlf-plugin/common/cells_sim.v | 8 ++++++++ ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v | 8 ++++++++ ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v | 8 ++++++++ ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v | 8 ++++++++ ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v | 8 ++++++++ ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v | 8 ++++++++ ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v | 8 ++++++++ ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v | 8 ++++++++ ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v | 8 ++++++++ ql-qlf-plugin/tests/Makefile | 8 ++++++++ ql-qlf-plugin/tests/bram/bram.v | 8 ++++++++ ql-qlf-plugin/tests/dffs/dffs.v | 8 ++++++++ ql-qlf-plugin/tests/full_adder/full_adder.v | 8 ++++++++ ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v | 8 ++++++++ ql-qlf-plugin/tests/latches/latches.v | 8 ++++++++ ql-qlf-plugin/tests/logic/logic.v | 8 ++++++++ ql-qlf-plugin/tests/shreg/shreg.v | 8 ++++++++ sdc-plugin/Makefile | 8 ++++++++ sdc-plugin/tests/Makefile | 8 ++++++++ sdc-plugin/tests/abc9/abc9.v | 8 ++++++++ sdc-plugin/tests/counter/counter.v | 8 ++++++++ sdc-plugin/tests/counter2/counter2.v | 8 ++++++++ sdc-plugin/tests/get_clocks/get_clocks.v | 8 ++++++++ sdc-plugin/tests/period_check/period_check.v | 8 ++++++++ .../tests/period_format_check/period_format_check.v | 8 ++++++++ sdc-plugin/tests/pll/pll.v | 8 ++++++++ sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v | 8 ++++++++ .../tests/pll_dangling_wires/pll_dangling_wires.v | 8 ++++++++ sdc-plugin/tests/pll_div/pll_div.v | 8 ++++++++ sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v | 8 ++++++++ sdc-plugin/tests/pll_propagated/pll_propagated.v | 8 ++++++++ sdc-plugin/tests/restore_from_json/restore_from_json.v | 8 ++++++++ sdc-plugin/tests/set_clock_groups/set_clock_groups.v | 8 ++++++++ sdc-plugin/tests/set_false_path/set_false_path.v | 8 ++++++++ sdc-plugin/tests/set_max_delay/set_max_delay.v | 8 ++++++++ sdc-plugin/tests/waveform_check/waveform_check.v | 8 ++++++++ .../minilitex_ddr_arty.v | 8 ++++++++ xdc-plugin/BANK.v | 8 ++++++++ xdc-plugin/Makefile | 8 ++++++++ xdc-plugin/tests/Makefile | 8 ++++++++ xdc-plugin/tests/compare_output_json.py | 10 ++++++++++ xdc-plugin/tests/counter-dict/counter-dict.v | 8 ++++++++ xdc-plugin/tests/counter/counter.v | 8 ++++++++ xdc-plugin/tests/io_loc_pairs/cells_xtra.v | 8 ++++++++ xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v | 8 ++++++++ xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v | 8 ++++++++ xdc-plugin/tests/package_pins/package_pins.v | 8 ++++++++ xdc-plugin/tests/port_indexes/port_indexes.v | 8 ++++++++ 85 files changed, 682 insertions(+) mode change 100755 => 100644 .github/workflows/build-and-test.sh mode change 100755 => 100644 .github/workflows/format-check.sh mode change 100755 => 100644 .github/workflows/setup.sh rename {xdc-plugin/tests/minilitex_ddr_arty => third_party}/minilitex_ddr_arty.v (99%) diff --git a/.github/workflows/build-and-test.sh b/.github/workflows/build-and-test.sh old mode 100755 new mode 100644 index 6a33c39e4..c395f027d --- a/.github/workflows/build-and-test.sh +++ b/.github/workflows/build-and-test.sh @@ -1,4 +1,11 @@ #! /bin/bash +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC set -e diff --git a/.github/workflows/common.sh b/.github/workflows/common.sh index 53e7033ac..376fe5c6b 100644 --- a/.github/workflows/common.sh +++ b/.github/workflows/common.sh @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + #! /bin/bash # Look for location binaries first diff --git a/.github/workflows/format-check.sh b/.github/workflows/format-check.sh old mode 100755 new mode 100644 index 20814bf02..a7792a79d --- a/.github/workflows/format-check.sh +++ b/.github/workflows/format-check.sh @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + #! /bin/bash set -e diff --git a/.github/workflows/setup.sh b/.github/workflows/setup.sh old mode 100755 new mode 100644 index ead84e799..5e968c2d2 --- a/.github/workflows/setup.sh +++ b/.github/workflows/setup.sh @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + #! /bin/bash set -e diff --git a/Makefile b/Makefile index 180acdb02..a2ec069c1 100644 --- a/Makefile +++ b/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + PLUGIN_LIST := fasm xdc params sdc ql-iob design_introspection integrateinv ql-qlf PLUGINS := $(foreach plugin,$(PLUGIN_LIST),$(plugin).so) PLUGINS_INSTALL := $(foreach plugin,$(PLUGIN_LIST),install_$(plugin)) diff --git a/design_introspection-plugin/Makefile b/design_introspection-plugin/Makefile index 2e1ffdecd..970624e3c 100644 --- a/design_introspection-plugin/Makefile +++ b/design_introspection-plugin/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + NAME = design_introspection SOURCES = design_introspection.cc \ get_cmd.cc \ diff --git a/design_introspection-plugin/tests/Makefile b/design_introspection-plugin/tests/Makefile index e9f50a3a1..18172c916 100644 --- a/design_introspection-plugin/tests/Makefile +++ b/design_introspection-plugin/tests/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + TESTS = get_nets \ get_ports \ get_cells \ diff --git a/design_introspection-plugin/tests/get_cells/get_cells.v b/design_introspection-plugin/tests/get_cells/get_cells.v index 3a6f2ebea..792182e61 100644 --- a/design_introspection-plugin/tests/get_cells/get_cells.v +++ b/design_introspection-plugin/tests/get_cells/get_cells.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk, output [3:0] led, diff --git a/design_introspection-plugin/tests/get_count/Makefile b/design_introspection-plugin/tests/get_count/Makefile index 163215137..aea11d342 100644 --- a/design_introspection-plugin/tests/get_count/Makefile +++ b/design_introspection-plugin/tests/get_count/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + test: yosys -p "tcl script.tcl" touch ok diff --git a/design_introspection-plugin/tests/get_count/get_count.v b/design_introspection-plugin/tests/get_count/get_count.v index 935a0c1aa..3bb4d559f 100644 --- a/design_introspection-plugin/tests/get_count/get_count.v +++ b/design_introspection-plugin/tests/get_count/get_count.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module my_gate ( input wire A, output wire Y diff --git a/design_introspection-plugin/tests/get_nets/get_nets.v b/design_introspection-plugin/tests/get_nets/get_nets.v index 33c580513..3d7e0f163 100644 --- a/design_introspection-plugin/tests/get_nets/get_nets.v +++ b/design_introspection-plugin/tests/get_nets/get_nets.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk, output [3:0] led, diff --git a/design_introspection-plugin/tests/get_pins/get_pins.v b/design_introspection-plugin/tests/get_pins/get_pins.v index 443e29408..846e86150 100644 --- a/design_introspection-plugin/tests/get_pins/get_pins.v +++ b/design_introspection-plugin/tests/get_pins/get_pins.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk, output [3:0] led, diff --git a/design_introspection-plugin/tests/get_ports/get_ports.v b/design_introspection-plugin/tests/get_ports/get_ports.v index 33c580513..3d7e0f163 100644 --- a/design_introspection-plugin/tests/get_ports/get_ports.v +++ b/design_introspection-plugin/tests/get_ports/get_ports.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk, output [3:0] led, diff --git a/fasm-plugin/Makefile b/fasm-plugin/Makefile index 71188e0f5..5d40bb996 100644 --- a/fasm-plugin/Makefile +++ b/fasm-plugin/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + NAME = fasm SOURCES = fasm.cc include ../Makefile_plugin.common diff --git a/fasm-plugin/tests/Makefile b/fasm-plugin/tests/Makefile index 320dfcfcb..66d5a63ba 100644 --- a/fasm-plugin/tests/Makefile +++ b/fasm-plugin/tests/Makefile @@ -1 +1,9 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + include $(shell pwd)/../../Makefile_test.common diff --git a/integrateinv-plugin/Makefile b/integrateinv-plugin/Makefile index b966810ac..de1f3ce3e 100644 --- a/integrateinv-plugin/Makefile +++ b/integrateinv-plugin/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + NAME = integrateinv SOURCES = integrateinv.cc include ../Makefile_plugin.common diff --git a/integrateinv-plugin/tests/Makefile b/integrateinv-plugin/tests/Makefile index 987017d1e..a3ed66869 100644 --- a/integrateinv-plugin/tests/Makefile +++ b/integrateinv-plugin/tests/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + TESTS = fanout \ hierarchy \ multi_bit \ diff --git a/integrateinv-plugin/tests/fanout/fanout.v b/integrateinv-plugin/tests/fanout/fanout.v index a396c7840..2ec8447c6 100644 --- a/integrateinv-plugin/tests/fanout/fanout.v +++ b/integrateinv-plugin/tests/fanout/fanout.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + (* blackbox *) module box( (* invertible_pin="INV_A" *) diff --git a/integrateinv-plugin/tests/hierarchy/hierarchy.v b/integrateinv-plugin/tests/hierarchy/hierarchy.v index 08eccacf4..e0fdf2f96 100644 --- a/integrateinv-plugin/tests/hierarchy/hierarchy.v +++ b/integrateinv-plugin/tests/hierarchy/hierarchy.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + (* blackbox *) module box( (* invertible_pin="INV_A" *) diff --git a/integrateinv-plugin/tests/multi_bit/multi_bit.v b/integrateinv-plugin/tests/multi_bit/multi_bit.v index f02c7bd5c..2261f9903 100644 --- a/integrateinv-plugin/tests/multi_bit/multi_bit.v +++ b/integrateinv-plugin/tests/multi_bit/multi_bit.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + (* blackbox *) module box( (* invertible_pin="INV_A" *) diff --git a/integrateinv-plugin/tests/single_bit/single_bit.v b/integrateinv-plugin/tests/single_bit/single_bit.v index edeab5e0e..812543555 100644 --- a/integrateinv-plugin/tests/single_bit/single_bit.v +++ b/integrateinv-plugin/tests/single_bit/single_bit.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + (* blackbox *) module box( (* invertible_pin="INV_A" *) diff --git a/integrateinv-plugin/tests/toplevel/toplevel.v b/integrateinv-plugin/tests/toplevel/toplevel.v index 652eff9ba..69480c1b2 100644 --- a/integrateinv-plugin/tests/toplevel/toplevel.v +++ b/integrateinv-plugin/tests/toplevel/toplevel.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + (* blackbox *) module box( (* invertible_pin="INV_A" *) diff --git a/params-plugin/Makefile b/params-plugin/Makefile index ec98ed124..d3db121a9 100644 --- a/params-plugin/Makefile +++ b/params-plugin/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + NAME = params SOURCES = params.cc include ../Makefile_plugin.common diff --git a/params-plugin/tests/Makefile b/params-plugin/tests/Makefile index eceb128fe..df5a7d517 100644 --- a/params-plugin/tests/Makefile +++ b/params-plugin/tests/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + TESTS = pll include $(shell pwd)/../../Makefile_test.common diff --git a/params-plugin/tests/compare_output_json.py b/params-plugin/tests/compare_output_json.py index 3b437e8c3..a576068e4 100644 --- a/params-plugin/tests/compare_output_json.py +++ b/params-plugin/tests/compare_output_json.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC """ This script extracts the top module cells and their corresponding parameters diff --git a/params-plugin/tests/pll/pll.v b/params-plugin/tests/pll/pll.v index fdc3ad948..e5bb122aa 100644 --- a/params-plugin/tests/pll/pll.v +++ b/params-plugin/tests/pll/pll.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* dont_touch = "true" *) input clk100, input cpu_reset, diff --git a/params-plugin/tests/pll/techmaps/cells_map.v b/params-plugin/tests/pll/techmaps/cells_map.v index baac0a134..57355a8ff 100644 --- a/params-plugin/tests/pll/techmaps/cells_map.v +++ b/params-plugin/tests/pll/techmaps/cells_map.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + // ============================================================================ // CMT diff --git a/params-plugin/tests/pll/techmaps/cells_sim.v b/params-plugin/tests/pll/techmaps/cells_sim.v index d3bc29bb7..244f4843d 100644 --- a/params-plugin/tests/pll/techmaps/cells_sim.v +++ b/params-plugin/tests/pll/techmaps/cells_sim.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + // ============================================================================ // CMT diff --git a/ql-iob-plugin/Makefile b/ql-iob-plugin/Makefile index 741d031e2..2dc1fd0b7 100644 --- a/ql-iob-plugin/Makefile +++ b/ql-iob-plugin/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + NAME = ql-iob SOURCES = ql-iob.cc pcf_parser.cc pinmap_parser.cc include ../Makefile_plugin.common diff --git a/ql-iob-plugin/tests/Makefile b/ql-iob-plugin/tests/Makefile index 7575db9bd..004013be1 100644 --- a/ql-iob-plugin/tests/Makefile +++ b/ql-iob-plugin/tests/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + TESTS = sdiomux ckpad all: clean $(addsuffix /ok,$(TESTS)) diff --git a/ql-iob-plugin/tests/ckpad/Makefile b/ql-iob-plugin/tests/ckpad/Makefile index 912d6f472..3bef98695 100644 --- a/ql-iob-plugin/tests/ckpad/Makefile +++ b/ql-iob-plugin/tests/ckpad/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + # TODO: Integrate this in the Makefile_test.command environment ? test: @yosys -s script.ys -q -q -l $@.log diff --git a/ql-iob-plugin/tests/ckpad/design.v b/ql-iob-plugin/tests/ckpad/design.v index dda0c919a..2828f3c1a 100644 --- a/ql-iob-plugin/tests/ckpad/design.v +++ b/ql-iob-plugin/tests/ckpad/design.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input wire clk0, input wire clk1, diff --git a/ql-iob-plugin/tests/common/pp3_cells_map.v b/ql-iob-plugin/tests/common/pp3_cells_map.v index 562e8d920..c8e0f2396 100644 --- a/ql-iob-plugin/tests/common/pp3_cells_map.v +++ b/ql-iob-plugin/tests/common/pp3_cells_map.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module \$_DFF_P_ ( D, Q, diff --git a/ql-iob-plugin/tests/common/pp3_cells_sim.v b/ql-iob-plugin/tests/common/pp3_cells_sim.v index 4a52f97a5..7bb0dafd3 100644 --- a/ql-iob-plugin/tests/common/pp3_cells_sim.v +++ b/ql-iob-plugin/tests/common/pp3_cells_sim.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module inpad ( output Q, (* iopad_external_pin *) diff --git a/ql-iob-plugin/tests/sdiomux/Makefile b/ql-iob-plugin/tests/sdiomux/Makefile index 912d6f472..3bef98695 100644 --- a/ql-iob-plugin/tests/sdiomux/Makefile +++ b/ql-iob-plugin/tests/sdiomux/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + # TODO: Integrate this in the Makefile_test.command environment ? test: @yosys -s script.ys -q -q -l $@.log diff --git a/ql-iob-plugin/tests/sdiomux/design.v b/ql-iob-plugin/tests/sdiomux/design.v index dde303fb9..831bd51df 100644 --- a/ql-iob-plugin/tests/sdiomux/design.v +++ b/ql-iob-plugin/tests/sdiomux/design.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input wire clk, output wire [3:0] led, diff --git a/ql-qlf-plugin/Makefile b/ql-qlf-plugin/Makefile index 402606a4f..df737bcb0 100644 --- a/ql-qlf-plugin/Makefile +++ b/ql-qlf-plugin/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + NAME = ql-qlf SOURCES = synth_quicklogic.cc include ../Makefile_plugin.common diff --git a/ql-qlf-plugin/common/cells_sim.v b/ql-qlf-plugin/common/cells_sim.v index 4c17762eb..3eb48fb45 100644 --- a/ql-qlf-plugin/common/cells_sim.v +++ b/ql-qlf-plugin/common/cells_sim.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module inv(output Q, input A); assign Q = A ? 0 : 1; diff --git a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v index 5feb614e6..99c81f042 100644 --- a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v +++ b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + (* techmap_celltype = "$alu" *) module _80_quicklogic_alu (A, B, CI, BI, X, Y, CO); parameter A_SIGNED = 0; diff --git a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v index 120001813..c0cd36934 100644 --- a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v +++ b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + (* abc9_box, lib_whitebox *) module adder_lut4( output lut4_out, diff --git a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v index 4a0adf47d..aea53004f 100644 --- a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v +++ b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module \$_DFF_P_ (D, Q, C); input D; input C; diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v index 6800b228d..2d8dee5c5 100644 --- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v +++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + ////////////////////////// // arithmetic // ////////////////////////// diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v index 7f25a9083..190f48af9 100644 --- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v +++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module \$__QLF_RAM16K ( output [31:0] RDATA, diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v index cbce0fb6e..ff991a57c 100644 --- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v +++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + (* abc9_box, lib_whitebox *) module adder( output sumout, diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v index add046298..1cabff9f8 100644 --- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v +++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module \$_DFF_P_ (D, Q, C); input D; input C; diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v index f03788d49..e8b2a64a1 100644 --- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v +++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + `ifndef NO_LUT module \$lut (A, Y); parameter WIDTH = 0; diff --git a/ql-qlf-plugin/tests/Makefile b/ql-qlf-plugin/tests/Makefile index 5c554d670..977898c3a 100644 --- a/ql-qlf-plugin/tests/Makefile +++ b/ql-qlf-plugin/tests/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + # The latch test is disabled as latches are not supported in the qlf_k4n8/qlf_k6n10. # The bram test will be enable in a future PR after it's been fixed. diff --git a/ql-qlf-plugin/tests/bram/bram.v b/ql-qlf-plugin/tests/bram/bram.v index 3c251b716..ab531a0de 100644 --- a/ql-qlf-plugin/tests/bram/bram.v +++ b/ql-qlf-plugin/tests/bram/bram.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module BRAM #(parameter AWIDTH = 9, parameter DWIDTH = 32) (clk, diff --git a/ql-qlf-plugin/tests/dffs/dffs.v b/ql-qlf-plugin/tests/dffs/dffs.v index 537699094..ab9fb4bec 100644 --- a/ql-qlf-plugin/tests/dffs/dffs.v +++ b/ql-qlf-plugin/tests/dffs/dffs.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module my_dff ( input d, clk, diff --git a/ql-qlf-plugin/tests/full_adder/full_adder.v b/ql-qlf-plugin/tests/full_adder/full_adder.v index 4c542cff1..0af21c459 100644 --- a/ql-qlf-plugin/tests/full_adder/full_adder.v +++ b/ql-qlf-plugin/tests/full_adder/full_adder.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module full_adder ( input wire [`WIDTH-1:0] A, input wire [`WIDTH-1:0] B, diff --git a/ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v b/ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v index 8dea6f005..753eaa632 100644 --- a/ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v +++ b/ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module my_dff ( input d, clk, diff --git a/ql-qlf-plugin/tests/latches/latches.v b/ql-qlf-plugin/tests/latches/latches.v index 8b35992c4..11b53c94c 100644 --- a/ql-qlf-plugin/tests/latches/latches.v +++ b/ql-qlf-plugin/tests/latches/latches.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module latchp ( input d, clk, diff --git a/ql-qlf-plugin/tests/logic/logic.v b/ql-qlf-plugin/tests/logic/logic.v index 17464af84..efc53c7a7 100644 --- a/ql-qlf-plugin/tests/logic/logic.v +++ b/ql-qlf-plugin/tests/logic/logic.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input [0:7] in, output B1, diff --git a/ql-qlf-plugin/tests/shreg/shreg.v b/ql-qlf-plugin/tests/shreg/shreg.v index e3bc955bc..d0569c902 100644 --- a/ql-qlf-plugin/tests/shreg/shreg.v +++ b/ql-qlf-plugin/tests/shreg/shreg.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input wire I, input wire C, diff --git a/sdc-plugin/Makefile b/sdc-plugin/Makefile index f45efdce3..7fe61e467 100644 --- a/sdc-plugin/Makefile +++ b/sdc-plugin/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + NAME = sdc SOURCES = buffers.cc \ clocks.cc \ diff --git a/sdc-plugin/tests/Makefile b/sdc-plugin/tests/Makefile index 1361f8e2c..cfcbd8d7e 100644 --- a/sdc-plugin/tests/Makefile +++ b/sdc-plugin/tests/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + # abc9 - test that abc9.D is correctly set after importing a clock. # counter, counter2, pll - test buffer and clock divider propagation # set_false_path - test the set_false_path command diff --git a/sdc-plugin/tests/abc9/abc9.v b/sdc-plugin/tests/abc9/abc9.v index 1bb745310..b87d2bf11 100644 --- a/sdc-plugin/tests/abc9/abc9.v +++ b/sdc-plugin/tests/abc9/abc9.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk1, clk2, diff --git a/sdc-plugin/tests/counter/counter.v b/sdc-plugin/tests/counter/counter.v index 88e9f9e1f..6478a4c36 100644 --- a/sdc-plugin/tests/counter/counter.v +++ b/sdc-plugin/tests/counter/counter.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input clk2, diff --git a/sdc-plugin/tests/counter2/counter2.v b/sdc-plugin/tests/counter2/counter2.v index 88e9f9e1f..6478a4c36 100644 --- a/sdc-plugin/tests/counter2/counter2.v +++ b/sdc-plugin/tests/counter2/counter2.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input clk2, diff --git a/sdc-plugin/tests/get_clocks/get_clocks.v b/sdc-plugin/tests/get_clocks/get_clocks.v index 05c1e9a67..4218db536 100644 --- a/sdc-plugin/tests/get_clocks/get_clocks.v +++ b/sdc-plugin/tests/get_clocks/get_clocks.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input clk2, diff --git a/sdc-plugin/tests/period_check/period_check.v b/sdc-plugin/tests/period_check/period_check.v index 45fec91d0..653f5f13b 100644 --- a/sdc-plugin/tests/period_check/period_check.v +++ b/sdc-plugin/tests/period_check/period_check.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* CLOCK_SIGNAL = "yes", WAVEFORM = "0 5" *) input clk, diff --git a/sdc-plugin/tests/period_format_check/period_format_check.v b/sdc-plugin/tests/period_format_check/period_format_check.v index 323d3ea2c..befb6cbf7 100644 --- a/sdc-plugin/tests/period_format_check/period_format_check.v +++ b/sdc-plugin/tests/period_format_check/period_format_check.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* CLOCK_SIGNAL = "yes", PERIOD = "bad value", WAVEFORM = "0 5" *) input clk, diff --git a/sdc-plugin/tests/pll/pll.v b/sdc-plugin/tests/pll/pll.v index 88fd35ad9..7c0045cd5 100644 --- a/sdc-plugin/tests/pll/pll.v +++ b/sdc-plugin/tests/pll/pll.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input cpu_reset, diff --git a/sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v b/sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v index 7cc4460ab..a3509bdc0 100644 --- a/sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v +++ b/sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input cpu_reset, diff --git a/sdc-plugin/tests/pll_dangling_wires/pll_dangling_wires.v b/sdc-plugin/tests/pll_dangling_wires/pll_dangling_wires.v index ab636afea..a76a39ed9 100644 --- a/sdc-plugin/tests/pll_dangling_wires/pll_dangling_wires.v +++ b/sdc-plugin/tests/pll_dangling_wires/pll_dangling_wires.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input cpu_reset, diff --git a/sdc-plugin/tests/pll_div/pll_div.v b/sdc-plugin/tests/pll_div/pll_div.v index df7046ebd..e07391836 100644 --- a/sdc-plugin/tests/pll_div/pll_div.v +++ b/sdc-plugin/tests/pll_div/pll_div.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input cpu_reset, diff --git a/sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v b/sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v index a2d81047d..f7ff414d7 100644 --- a/sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v +++ b/sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input cpu_reset, diff --git a/sdc-plugin/tests/pll_propagated/pll_propagated.v b/sdc-plugin/tests/pll_propagated/pll_propagated.v index 88fd35ad9..7c0045cd5 100644 --- a/sdc-plugin/tests/pll_propagated/pll_propagated.v +++ b/sdc-plugin/tests/pll_propagated/pll_propagated.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input cpu_reset, diff --git a/sdc-plugin/tests/restore_from_json/restore_from_json.v b/sdc-plugin/tests/restore_from_json/restore_from_json.v index 3c7997a50..cc39884d0 100644 --- a/sdc-plugin/tests/restore_from_json/restore_from_json.v +++ b/sdc-plugin/tests/restore_from_json/restore_from_json.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, input i, diff --git a/sdc-plugin/tests/set_clock_groups/set_clock_groups.v b/sdc-plugin/tests/set_clock_groups/set_clock_groups.v index 33c580513..3d7e0f163 100644 --- a/sdc-plugin/tests/set_clock_groups/set_clock_groups.v +++ b/sdc-plugin/tests/set_clock_groups/set_clock_groups.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk, output [3:0] led, diff --git a/sdc-plugin/tests/set_false_path/set_false_path.v b/sdc-plugin/tests/set_false_path/set_false_path.v index 33c580513..3d7e0f163 100644 --- a/sdc-plugin/tests/set_false_path/set_false_path.v +++ b/sdc-plugin/tests/set_false_path/set_false_path.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk, output [3:0] led, diff --git a/sdc-plugin/tests/set_max_delay/set_max_delay.v b/sdc-plugin/tests/set_max_delay/set_max_delay.v index 33c580513..3d7e0f163 100644 --- a/sdc-plugin/tests/set_max_delay/set_max_delay.v +++ b/sdc-plugin/tests/set_max_delay/set_max_delay.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk, output [3:0] led, diff --git a/sdc-plugin/tests/waveform_check/waveform_check.v b/sdc-plugin/tests/waveform_check/waveform_check.v index b1723fa4c..4115c7e00 100644 --- a/sdc-plugin/tests/waveform_check/waveform_check.v +++ b/sdc-plugin/tests/waveform_check/waveform_check.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( (* CLOCK_SIGNAL = "yes", PERIOD = "10", WAVEFORM = "bad value" *) input clk, diff --git a/xdc-plugin/tests/minilitex_ddr_arty/minilitex_ddr_arty.v b/third_party/minilitex_ddr_arty.v similarity index 99% rename from xdc-plugin/tests/minilitex_ddr_arty/minilitex_ddr_arty.v rename to third_party/minilitex_ddr_arty.v index a207088c5..da2c25fbf 100644 --- a/xdc-plugin/tests/minilitex_ddr_arty/minilitex_ddr_arty.v +++ b/third_party/minilitex_ddr_arty.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + //-------------------------------------------------------------------------------- // Auto-generated by Migen (--------) & LiteX (9b11e919) on 2020-02-25 16:47:33 //-------------------------------------------------------------------------------- diff --git a/xdc-plugin/BANK.v b/xdc-plugin/BANK.v index 6a6f27d99..c3a617f2e 100644 --- a/xdc-plugin/BANK.v +++ b/xdc-plugin/BANK.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module BANK(); parameter FASM_EXTRA = "INTERNAL_VREF"; parameter NUMBER = 0; diff --git a/xdc-plugin/Makefile b/xdc-plugin/Makefile index 0ca7ba354..9ed545264 100644 --- a/xdc-plugin/Makefile +++ b/xdc-plugin/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + NAME = xdc SOURCES = xdc.cc include ../Makefile_plugin.common diff --git a/xdc-plugin/tests/Makefile b/xdc-plugin/tests/Makefile index 0f86e2f8a..363cbfe77 100644 --- a/xdc-plugin/tests/Makefile +++ b/xdc-plugin/tests/Makefile @@ -1,3 +1,11 @@ +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + # counter - basic test for IOSTANDARD, SLEW, DRIVE, IN_TERM properties # counter-dict - basic test using XDC -dict for IOSTANDARD, SLEW, DRIVE, IN_TERM properties # port_indexes - like counter but bus port indices are passes without curly braces diff --git a/xdc-plugin/tests/compare_output_json.py b/xdc-plugin/tests/compare_output_json.py index f48d966b9..12ff11194 100644 --- a/xdc-plugin/tests/compare_output_json.py +++ b/xdc-plugin/tests/compare_output_json.py @@ -1,4 +1,14 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2020-2021 The SymbiFlow Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier:ISC + """ This script extracts the top module cells and their corresponding parameters diff --git a/xdc-plugin/tests/counter-dict/counter-dict.v b/xdc-plugin/tests/counter-dict/counter-dict.v index 2ec231bb2..1b1565f6b 100644 --- a/xdc-plugin/tests/counter-dict/counter-dict.v +++ b/xdc-plugin/tests/counter-dict/counter-dict.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, output [3:0] led, diff --git a/xdc-plugin/tests/counter/counter.v b/xdc-plugin/tests/counter/counter.v index 2ec231bb2..1b1565f6b 100644 --- a/xdc-plugin/tests/counter/counter.v +++ b/xdc-plugin/tests/counter/counter.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, output [3:0] led, diff --git a/xdc-plugin/tests/io_loc_pairs/cells_xtra.v b/xdc-plugin/tests/io_loc_pairs/cells_xtra.v index 68a6f8770..503ed072b 100644 --- a/xdc-plugin/tests/io_loc_pairs/cells_xtra.v +++ b/xdc-plugin/tests/io_loc_pairs/cells_xtra.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module GTPE2_CHANNEL ( (* iopad_external_pin *) output GTPTXN, diff --git a/xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v b/xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v index 9ece605c0..62fc7a942 100644 --- a/xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v +++ b/xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, output [3:0] led, diff --git a/xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v b/xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v index 2dc7b989c..3009d1779 100644 --- a/xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v +++ b/xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + // Generator : SpinalHDL v1.3.6 git head : 9bf01e7f360e003fac1dd5ca8b8f4bffec0e52b8 // Date : 16/06/2019, 23:18:37 // Component : VexRiscv diff --git a/xdc-plugin/tests/package_pins/package_pins.v b/xdc-plugin/tests/package_pins/package_pins.v index e5d2896fe..d4d172dc9 100644 --- a/xdc-plugin/tests/package_pins/package_pins.v +++ b/xdc-plugin/tests/package_pins/package_pins.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, output [3:0] led, diff --git a/xdc-plugin/tests/port_indexes/port_indexes.v b/xdc-plugin/tests/port_indexes/port_indexes.v index 2ec231bb2..1b1565f6b 100644 --- a/xdc-plugin/tests/port_indexes/port_indexes.v +++ b/xdc-plugin/tests/port_indexes/port_indexes.v @@ -1,3 +1,11 @@ +// Copyright (C) 2020-2021 The SymbiFlow Authors. +// +// Use of this source code is governed by a ISC-style +// license that can be found in the LICENSE file or at +// https://opensource.org/licenses/ISC +// +// SPDX-License-Identifier:ISC + module top ( input clk, output [3:0] led,