diff --git a/nightly/book/02_features.html b/nightly/book/02_features.html index 963989df..96de752e 100644 --- a/nightly/book/02_features.html +++ b/nightly/book/02_features.html @@ -379,7 +379,8 @@

Module

// variable declaration var r_data0: logic<ParamA>; var r_data1: logic<ParamA>; + var r_data2: logic<ParamA>; // value binding let _w_data2: logic<ParamA> = i_data; @@ -292,6 +293,12 @@

Module

r_data1 = r_data0; } + // clock and reset can be omitted + // if there is a single clock and reset in the module + always_ff { + r_data2 = r_data1; + } + assign o_data = r_data1; } diff --git a/nightly/book/05_language_reference/06_declaration/03_register.html b/nightly/book/05_language_reference/06_declaration/03_register.html index 36973a6d..9d51c4fa 100644 --- a/nightly/book/05_language_reference/06_declaration/03_register.html +++ b/nightly/book/05_language_reference/06_declaration/03_register.html @@ -260,12 +260,14 @@

Register

If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml.

+

If there is a single clock and reset in the module, clock and reset specification can be omitted.

module ModuleA (
     i_clk: input clock,
     i_rst: input reset,
 ) {
     var a: logic<10>;
     var b: logic<10>;
+    var c: logic<10>;
 
     always_ff (i_clk) {
         a = 1;
@@ -278,6 +280,14 @@ 

Register

b = 1; } } + + always_ff { + if_reset { + c = 0; + } else { + c = 1; + } + } }
diff --git a/nightly/book/ja/02_features.html b/nightly/book/ja/02_features.html index 36469bb3..66dfd0bb 100644 --- a/nightly/book/ja/02_features.html +++ b/nightly/book/ja/02_features.html @@ -370,7 +370,7 @@

末尾カンマ

クロックとリセットの抽象化

クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。

-

さらに、明示的な clockreset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。

+

さらに、明示的な clockreset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。モジュール内にクロックとリセットが1つだけの場合、レジスタへの接続を省略することもできます。

@@ -397,7 +397,7 @@

モジュー // 変数宣言 var r_data0: logic<ParamA>; var r_data1: logic<ParamA>; + var r_data2: logic<ParamA>; // 値の束縛 let _w_data2: logic<ParamA> = i_data; @@ -292,6 +293,12 @@

モジュー r_data1 = r_data0; } + // モジュール内にクロックとリセットが1つしかない場合 + // クロックとリセットの指定は省略できます + always_ff { + r_data2 = r_data1; + } + assign o_data = r_data1; } diff --git a/nightly/book/ja/05_language_reference/06_declaration/03_register.html b/nightly/book/ja/05_language_reference/06_declaration/03_register.html index 95386006..a87e97a8 100644 --- a/nightly/book/ja/05_language_reference/06_declaration/03_register.html +++ b/nightly/book/ja/05_language_reference/06_declaration/03_register.html @@ -253,12 +253,14 @@

レジスタレジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。

always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。

if_resetalways_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml[build] セクションで設定できます。

+

モジュール内にクロックとリセットが1つしかない場合、クロックとリセットの指定は省略できます。

module ModuleA (
     i_clk: input clock,
     i_rst: input reset,
 ) {
     var a: logic<10>;
     var b: logic<10>;
+    var c: logic<10>;
 
     always_ff (i_clk) {
         a = 1;
@@ -271,6 +273,14 @@ 

レジスタ

diff --git a/nightly/book/ja/print.html b/nightly/book/ja/print.html index c4dcf6ba..76cebade 100644 --- a/nightly/book/ja/print.html +++ b/nightly/book/ja/print.html @@ -381,7 +381,7 @@

末尾カンマ

SystemVerilog

クロックとリセットの抽象化

クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。

-

さらに、明示的な clockreset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。

+

さらに、明示的な clockreset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。モジュール内にクロックとリセットが1つだけの場合、レジスタへの接続を省略することもできます。

@@ -408,7 +408,7 @@

ビルドす // 変数宣言 var r_data0: logic<ParamA>; var r_data1: logic<ParamA>; + var r_data2: logic<ParamA>; // 値の束縛 let _w_data2: logic<ParamA> = i_data; @@ -820,6 +821,12 @@

ビルドす r_data1 = r_data0; } + // モジュール内にクロックとリセットが1つしかない場合 + // クロックとリセットの指定は省略できます + always_ff { + r_data2 = r_data1; + } + assign o_data = r_data1; } @@ -1596,12 +1603,14 @@

型定義

レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。

always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。

if_resetalways_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml[build] セクションで設定できます。

+

モジュール内にクロックとリセットが1つしかない場合、クロックとリセットの指定は省略できます。

module ModuleA (
     i_clk: input clock,
     i_rst: input reset,
 ) {
     var a: logic<10>;
     var b: logic<10>;
+    var c: logic<10>;
 
     always_ff (i_clk) {
         a = 1;
@@ -1614,6 +1623,14 @@ 

型定義

b = 1; } } + + always_ff { + if_reset { + c = 0; + } else { + c = 1; + } + } }

組み合わせ回路

diff --git a/nightly/book/ja/searchindex.js b/nightly/book/ja/searchindex.js index d8ecfc45..df8bc3b1 100644 --- a/nightly/book/ja/searchindex.js +++ b/nightly/book/ja/searchindex.js @@ -1 +1 @@ -Object.assign(window.search, {"doc_urls":["01_introduction.html#ハードウェア記述言語-veryl","01_introduction.html#最適化された構文","01_introduction.html#相互運用性","01_introduction.html#生産性","02_features.html#特徴","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#はじめに","03_getting_started/01_installation.html#インストール","03_getting_started/01_installation.html#要件","03_getting_started/01_installation.html#インストール方法の選択","03_getting_started/01_installation.html#バイナリのダウンロード","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#エディタ設定","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#そのほかのエディタ","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#プロジェクトを作る","03_getting_started/02_hello_world.html#コードを書く","03_getting_started/02_hello_world.html#ビルドする","04_code_examples.html#コード例","04_code_examples/01_module.html#モジュール","04_code_examples/02_instantiation.html#インスタンス","04_code_examples/03_interface.html#インターフェース","04_code_examples/04_package.html#パッケージ","05_language_reference.html#言語リファレンス","05_language_reference/01_source_code_structure.html#ソースコードの構造","05_language_reference/02_lexical_structure.html#字句構造","05_language_reference/02_lexical_structure.html#エンコーディング","05_language_reference/02_lexical_structure.html#空白","05_language_reference/02_lexical_structure.html#コメント","05_language_reference/02_lexical_structure.html#ドキュメンテーションコメント","05_language_reference/02_lexical_structure.html#識別子","05_language_reference/02_lexical_structure.html#文字列","05_language_reference/02_lexical_structure/01_operator.html#演算子","05_language_reference/02_lexical_structure/02_number.html#数値","05_language_reference/02_lexical_structure/02_number.html#整数","05_language_reference/02_lexical_structure/02_number.html#全ビットのセット","05_language_reference/02_lexical_structure/02_number.html#幅なし整数","05_language_reference/02_lexical_structure/02_number.html#指定ビットのセット","05_language_reference/02_lexical_structure/02_number.html#浮動小数点数","05_language_reference/02_lexical_structure/03_array_literal.html#配列リテラル","05_language_reference/03_data_type.html#データ型","05_language_reference/03_data_type/01_builtin_type.html#組み込み型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な4値データ型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な2値データ型","05_language_reference/03_data_type/01_builtin_type.html#整数型","05_language_reference/03_data_type/01_builtin_type.html#浮動小数点数型","05_language_reference/03_data_type/01_builtin_type.html#文字列型","05_language_reference/03_data_type/01_builtin_type.html#type型","05_language_reference/03_data_type/02_user_defined_type.html#ユーザ定義型","05_language_reference/03_data_type/02_user_defined_type.html#構造体","05_language_reference/03_data_type/02_user_defined_type.html#列挙型","05_language_reference/03_data_type/02_user_defined_type.html#ユニオン","05_language_reference/03_data_type/02_user_defined_type.html#型定義","05_language_reference/03_data_type/03_array.html#配列","05_language_reference/03_data_type/04_clock_reset.html#クロックとリセット","05_language_reference/04_expression.html#式","05_language_reference/04_expression/01_operator_precedence.html#演算子の優先順位","05_language_reference/04_expression/02_function_call.html#関数呼び出し","05_language_reference/04_expression/03_concatenation.html#連結","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#ビット選択","05_language_reference/04_expression/07_range.html#範囲","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#文","05_language_reference/05_statement/01_assignment.html#代入","05_language_reference/05_statement/02_function_call.html#関数呼び出し","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#宣言","05_language_reference/06_declaration/01_variable.html#変数","05_language_reference/06_declaration/02_parameter.html#パラメータ","05_language_reference/06_declaration/03_register.html#レジスタ","05_language_reference/06_declaration/04_combinational.html#組み合わせ回路","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#関数","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#アトリビュート","05_language_reference/06_declaration/08_attribute.html#sv-アトリビュート","05_language_reference/06_declaration/09_generate.html#生成","05_language_reference/06_declaration/10_instantiation.html#インスタンス","05_language_reference/06_declaration/11_named_block.html#名前付きブロック","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#モジュール","05_language_reference/08_interface.html#インターフェース","05_language_reference/09_package.html#パッケージ","05_language_reference/10_systemverilog_interoperation.html#systemverilogとの相互運用","05_language_reference/11_visibility.html#可視性","05_language_reference/12_foreign_language_integration.html#他言語組み込み","05_language_reference/12_foreign_language_integration.html#embed-宣言","05_language_reference/12_foreign_language_integration.html#include-宣言","05_language_reference/13_integrated_test.html#組み込みテスト","05_language_reference/14_generics.html#ジェネリクス","05_language_reference/14_generics.html#ジェネリック関数","05_language_reference/14_generics.html#ジェネリックモジュールインターフェース","05_language_reference/14_generics.html#ジェネリックパッケージ","05_language_reference/14_generics.html#ジェネリック構造体","06_development_environment.html#開発環境","06_development_environment/01_project_configuration.html#プロジェクト設定","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#依存関係","06_development_environment/02_dependencies.html#依存関係の使用","06_development_environment/02_dependencies.html#バージョン要求","06_development_environment/03_publish_project.html#プロジェクトを公開する","06_development_environment/03_publish_project.html#バージョンを上げる","06_development_environment/03_publish_project.html#設定","06_development_environment/04_directory_layout.html#ディレクトリ構成","06_development_environment/04_directory_layout.html#単一のソースディレクトリ","06_development_environment/04_directory_layout.html#単一のソースとターゲットディレクトリ","06_development_environment/04_directory_layout.html#マルチソースディレクトリ","06_development_environment/04_directory_layout.html#gitignore-について","06_development_environment/05_formatter.html#フォーマッタ","06_development_environment/06_linter.html#リンタ","06_development_environment/06_linter.html#lintnaming-セクション","06_development_environment/07_simulator.html#シミュレータ","06_development_environment/07_simulator.html#test-セクション","06_development_environment/07_simulator.html#testverilator-セクション","06_development_environment/07_simulator.html#testvcs-セクション","06_development_environment/07_simulator.html#testvivado-セクション","06_development_environment/08_language_server.html#言語サーバ","06_development_environment/09_compatibility.html#互換性","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#文字列パラメータ","06_development_environment/10_documentation.html#ドキュメンテーション","06_development_environment/11_github_action.html#github-action","07_appendix.html#補遺","07_appendix/01_formal_syntax.html#構文","07_appendix/02_semantic_error.html#セマンティックエラー","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":3,"breadcrumbs":1,"title":1},"1":{"body":0,"breadcrumbs":0,"title":0},"10":{"body":20,"breadcrumbs":0,"title":0},"100":{"body":13,"breadcrumbs":4,"title":1},"101":{"body":14,"breadcrumbs":3,"title":0},"102":{"body":36,"breadcrumbs":3,"title":0},"103":{"body":10,"breadcrumbs":3,"title":0},"104":{"body":35,"breadcrumbs":7,"title":2},"105":{"body":31,"breadcrumbs":2,"title":0},"106":{"body":27,"breadcrumbs":2,"title":0},"107":{"body":7,"breadcrumbs":2,"title":0},"108":{"body":17,"breadcrumbs":4,"title":1},"109":{"body":11,"breadcrumbs":2,"title":0},"11":{"body":37,"breadcrumbs":0,"title":0},"110":{"body":0,"breadcrumbs":2,"title":0},"111":{"body":13,"breadcrumbs":3,"title":1},"112":{"body":4,"breadcrumbs":3,"title":1},"113":{"body":25,"breadcrumbs":2,"title":0},"114":{"body":1,"breadcrumbs":2,"title":0},"115":{"body":15,"breadcrumbs":2,"title":0},"116":{"body":17,"breadcrumbs":2,"title":0},"117":{"body":15,"breadcrumbs":2,"title":0},"118":{"body":27,"breadcrumbs":2,"title":0},"119":{"body":0,"breadcrumbs":0,"title":0},"12":{"body":20,"breadcrumbs":0,"title":0},"120":{"body":21,"breadcrumbs":2,"title":0},"121":{"body":4,"breadcrumbs":3,"title":1},"122":{"body":2,"breadcrumbs":3,"title":1},"123":{"body":4,"breadcrumbs":3,"title":1},"124":{"body":9,"breadcrumbs":3,"title":1},"125":{"body":1,"breadcrumbs":3,"title":1},"126":{"body":10,"breadcrumbs":3,"title":1},"127":{"body":5,"breadcrumbs":3,"title":1},"128":{"body":1,"breadcrumbs":3,"title":1},"129":{"body":3,"breadcrumbs":3,"title":1},"13":{"body":20,"breadcrumbs":1,"title":1},"130":{"body":5,"breadcrumbs":3,"title":1},"131":{"body":5,"breadcrumbs":3,"title":1},"132":{"body":14,"breadcrumbs":3,"title":1},"133":{"body":8,"breadcrumbs":3,"title":1},"134":{"body":6,"breadcrumbs":3,"title":1},"135":{"body":6,"breadcrumbs":3,"title":1},"136":{"body":1,"breadcrumbs":3,"title":1},"137":{"body":1,"breadcrumbs":3,"title":1},"138":{"body":1,"breadcrumbs":3,"title":1},"139":{"body":1,"breadcrumbs":3,"title":1},"14":{"body":20,"breadcrumbs":1,"title":1},"140":{"body":1,"breadcrumbs":3,"title":1},"141":{"body":25,"breadcrumbs":2,"title":0},"142":{"body":26,"breadcrumbs":2,"title":0},"143":{"body":20,"breadcrumbs":2,"title":0},"144":{"body":54,"breadcrumbs":2,"title":0},"145":{"body":39,"breadcrumbs":2,"title":0},"146":{"body":16,"breadcrumbs":2,"title":0},"147":{"body":1,"breadcrumbs":2,"title":0},"148":{"body":36,"breadcrumbs":2,"title":0},"149":{"body":25,"breadcrumbs":2,"title":0},"15":{"body":17,"breadcrumbs":1,"title":1},"150":{"body":24,"breadcrumbs":2,"title":0},"151":{"body":7,"breadcrumbs":3,"title":1},"152":{"body":9,"breadcrumbs":2,"title":0},"153":{"body":9,"breadcrumbs":2,"title":0},"154":{"body":171,"breadcrumbs":3,"title":1},"155":{"body":14,"breadcrumbs":2,"title":0},"156":{"body":5,"breadcrumbs":3,"title":1},"157":{"body":4,"breadcrumbs":3,"title":1},"158":{"body":4,"breadcrumbs":3,"title":1},"159":{"body":7,"breadcrumbs":3,"title":1},"16":{"body":28,"breadcrumbs":1,"title":1},"160":{"body":4,"breadcrumbs":2,"title":0},"161":{"body":2,"breadcrumbs":2,"title":0},"162":{"body":0,"breadcrumbs":3,"title":1},"163":{"body":10,"breadcrumbs":2,"title":0},"164":{"body":75,"breadcrumbs":2,"title":0},"165":{"body":87,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":0,"title":0},"167":{"body":2247,"breadcrumbs":1,"title":0},"168":{"body":0,"breadcrumbs":1,"title":0},"169":{"body":0,"breadcrumbs":2,"title":1},"17":{"body":28,"breadcrumbs":2,"title":2},"170":{"body":0,"breadcrumbs":2,"title":1},"171":{"body":0,"breadcrumbs":2,"title":1},"172":{"body":0,"breadcrumbs":2,"title":1},"173":{"body":0,"breadcrumbs":2,"title":1},"174":{"body":0,"breadcrumbs":2,"title":1},"175":{"body":0,"breadcrumbs":2,"title":1},"176":{"body":0,"breadcrumbs":2,"title":1},"177":{"body":0,"breadcrumbs":2,"title":1},"178":{"body":0,"breadcrumbs":2,"title":1},"179":{"body":0,"breadcrumbs":2,"title":1},"18":{"body":18,"breadcrumbs":1,"title":1},"180":{"body":0,"breadcrumbs":2,"title":1},"181":{"body":0,"breadcrumbs":2,"title":1},"182":{"body":0,"breadcrumbs":2,"title":1},"183":{"body":0,"breadcrumbs":2,"title":1},"184":{"body":0,"breadcrumbs":2,"title":1},"185":{"body":0,"breadcrumbs":2,"title":1},"186":{"body":0,"breadcrumbs":2,"title":1},"187":{"body":0,"breadcrumbs":2,"title":1},"188":{"body":0,"breadcrumbs":2,"title":1},"189":{"body":0,"breadcrumbs":2,"title":1},"19":{"body":22,"breadcrumbs":0,"title":0},"190":{"body":0,"breadcrumbs":2,"title":1},"191":{"body":0,"breadcrumbs":2,"title":1},"192":{"body":0,"breadcrumbs":2,"title":1},"193":{"body":0,"breadcrumbs":2,"title":1},"2":{"body":0,"breadcrumbs":0,"title":0},"20":{"body":7,"breadcrumbs":0,"title":0},"21":{"body":14,"breadcrumbs":0,"title":0},"22":{"body":2,"breadcrumbs":0,"title":0},"23":{"body":3,"breadcrumbs":2,"title":0},"24":{"body":3,"breadcrumbs":2,"title":0},"25":{"body":0,"breadcrumbs":2,"title":0},"26":{"body":0,"breadcrumbs":2,"title":0},"27":{"body":6,"breadcrumbs":3,"title":1},"28":{"body":5,"breadcrumbs":2,"title":0},"29":{"body":11,"breadcrumbs":5,"title":3},"3":{"body":0,"breadcrumbs":0,"title":0},"30":{"body":7,"breadcrumbs":4,"title":2},"31":{"body":2,"breadcrumbs":2,"title":0},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":25,"breadcrumbs":4,"title":0},"34":{"body":20,"breadcrumbs":4,"title":0},"35":{"body":44,"breadcrumbs":4,"title":0},"36":{"body":20,"breadcrumbs":0,"title":0},"37":{"body":68,"breadcrumbs":2,"title":0},"38":{"body":78,"breadcrumbs":2,"title":0},"39":{"body":56,"breadcrumbs":2,"title":0},"4":{"body":7,"breadcrumbs":0,"title":0},"40":{"body":24,"breadcrumbs":2,"title":0},"41":{"body":1,"breadcrumbs":0,"title":0},"42":{"body":12,"breadcrumbs":2,"title":0},"43":{"body":1,"breadcrumbs":2,"title":0},"44":{"body":3,"breadcrumbs":2,"title":0},"45":{"body":2,"breadcrumbs":2,"title":0},"46":{"body":0,"breadcrumbs":2,"title":0},"47":{"body":0,"breadcrumbs":2,"title":0},"48":{"body":7,"breadcrumbs":2,"title":0},"49":{"body":3,"breadcrumbs":2,"title":0},"5":{"body":3,"breadcrumbs":0,"title":0},"50":{"body":68,"breadcrumbs":4,"title":0},"51":{"body":0,"breadcrumbs":4,"title":0},"52":{"body":14,"breadcrumbs":4,"title":0},"53":{"body":10,"breadcrumbs":4,"title":0},"54":{"body":18,"breadcrumbs":4,"title":0},"55":{"body":18,"breadcrumbs":4,"title":0},"56":{"body":5,"breadcrumbs":4,"title":0},"57":{"body":27,"breadcrumbs":4,"title":0},"58":{"body":0,"breadcrumbs":2,"title":0},"59":{"body":0,"breadcrumbs":4,"title":0},"6":{"body":2,"breadcrumbs":0,"title":0},"60":{"body":18,"breadcrumbs":5,"title":1},"61":{"body":18,"breadcrumbs":5,"title":1},"62":{"body":18,"breadcrumbs":4,"title":0},"63":{"body":13,"breadcrumbs":4,"title":0},"64":{"body":5,"breadcrumbs":4,"title":0},"65":{"body":17,"breadcrumbs":5,"title":1},"66":{"body":0,"breadcrumbs":4,"title":0},"67":{"body":22,"breadcrumbs":4,"title":0},"68":{"body":17,"breadcrumbs":4,"title":0},"69":{"body":24,"breadcrumbs":4,"title":0},"7":{"body":15,"breadcrumbs":0,"title":0},"70":{"body":15,"breadcrumbs":4,"title":0},"71":{"body":99,"breadcrumbs":4,"title":0},"72":{"body":68,"breadcrumbs":4,"title":0},"73":{"body":0,"breadcrumbs":2,"title":0},"74":{"body":1,"breadcrumbs":3,"title":0},"75":{"body":22,"breadcrumbs":3,"title":0},"76":{"body":20,"breadcrumbs":3,"title":0},"77":{"body":14,"breadcrumbs":3,"title":0},"78":{"body":26,"breadcrumbs":5,"title":1},"79":{"body":16,"breadcrumbs":3,"title":0},"8":{"body":4,"breadcrumbs":0,"title":0},"80":{"body":9,"breadcrumbs":3,"title":0},"81":{"body":18,"breadcrumbs":7,"title":2},"82":{"body":29,"breadcrumbs":7,"title":2},"83":{"body":2,"breadcrumbs":2,"title":0},"84":{"body":34,"breadcrumbs":3,"title":0},"85":{"body":5,"breadcrumbs":3,"title":0},"86":{"body":16,"breadcrumbs":3,"title":0},"87":{"body":26,"breadcrumbs":5,"title":1},"88":{"body":10,"breadcrumbs":3,"title":0},"89":{"body":9,"breadcrumbs":5,"title":1},"9":{"body":57,"breadcrumbs":0,"title":0},"90":{"body":35,"breadcrumbs":3,"title":0},"91":{"body":0,"breadcrumbs":2,"title":0},"92":{"body":33,"breadcrumbs":3,"title":0},"93":{"body":11,"breadcrumbs":3,"title":0},"94":{"body":34,"breadcrumbs":3,"title":0},"95":{"body":11,"breadcrumbs":3,"title":0},"96":{"body":7,"breadcrumbs":5,"title":1},"97":{"body":24,"breadcrumbs":3,"title":0},"98":{"body":8,"breadcrumbs":7,"title":2},"99":{"body":0,"breadcrumbs":3,"title":0}},"docs":{"0":{"body":"Veryl Veryl は SystemVerilog をベースに設計されたハードウェア記述言語であり、以下のような特徴があります。","breadcrumbs":"概要 » ハードウェア記述言語 Veryl","id":"0","title":"ハードウェア記述言語 Veryl"},"1":{"body":"Verylは、SystemVerilogの経験者にとって親しみやすい基本構文に基づきながら、論理設計に最適化された構文を採用しています。この最適化には、たとえば合成可能性の保証やシミュレーション結果の一致の保証、頻出する定型文を簡素化する多数の構文などの提供が含まれます。このアプローチにより、学習の容易さ、設計プロセスの信頼性と効率の向上、およびコードの記述の容易さが実現されます。","breadcrumbs":"概要 » 最適化された構文","id":"1","title":"最適化された構文"},"10":{"body":"末尾カンマは、リストの最後の要素の後ろにカンマが置かれる構文です。これにより、要素の追加や削除が容易になり、バージョン管理システムにおける不必要な差異を減らすことができます。 SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"特徴 » 末尾カンマ","id":"10","title":"末尾カンマ"},"100":{"body":"SV アトリビュートは SystemVerilog のアトリビュートを表し、(* *) という形式の SystemVerilog アトリビュートに変換されます。 module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » アトリビュート » SV アトリビュート","id":"100","title":"SV アトリビュート"},"101":{"body":"宣言や for や if を使って生成することができます。: で示すラベルは生成された複数の宣言を識別するのに必要です。 module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // else 句のラベルは省略可能 assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » 生成 » 生成","id":"101","title":"生成"},"102":{"body":"inst キーワードはモジュールやインターフェースのインスタンス化を表します。インスタンスの名前は inst の後に、インスタンスの型は : の後に書きます。#() でパラメータオーバーライドを、() でポート接続を表します。 module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // 名前によるパラメータ代入 paramB: 10, ) ( a , // 名前によるポート接続 bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » インスタンス » インスタンス","id":"102","title":"インスタンス"},"103":{"body":"{} ブロックにラベルを付けることができます。そのような名前付きブロックは独立した名前空間を持ちます。 module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » 名前付きブロック » 名前付きブロック","id":"103","title":"名前付きブロック"},"104":{"body":"import 宣言は他のパッケージからシンボルをインポートします。モジュール、インターフェース、パッケージの要素としてだけでなくトップレベルにも配置することができます。import 宣言の引数には package::* のようなワイルドカードパターンを使用することができます。 // ファイルスコープインポート\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export 宣言は宣言したパッケージからシンボルをエクスポートします。全てのシンボルをエクスポートするには export * を使用します。 package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"モジュールはソースコードの最上位コンポーネントの1つです。モジュールはオーバーライド可能なパラメータ、接続ポート、内部ロジックを持ちます。 オーバーライド可能なパラメータは #() 内で宣言できます。それぞれのパラメータ宣言は param キーワードで始まり、識別子、:、パラメータの型、デフォルト値で構成されます。 接続ポートは () 内で宣言できます。それぞれのポート宣言は識別子、:、ポートの方向、ポートの型で構成されます。利用可能なポート方向は以下の通りです。 input:入力ポート output:出力ポート inout:双方向ポート modport:インターフェースのmodport module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » モジュール » モジュール","id":"105","title":"モジュール"},"106":{"body":"インターフェースはソースコードの最上位コンポーネントの1つです。インターフェースはオーバーライド可能なパラメータ、インターフェース定義を持ちます。 オーバーライド可能なパラメータについてはモジュールと同じです。 インターフェース定義では modport を宣言することができます。modport はモジュールのポート宣言で、ポートを束ねて接続するために使うことができます。 interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » インターフェース » インターフェース","id":"106","title":"インターフェース"},"107":{"body":"パッケージはソースコードの最上位コンポーネントの1つです。パッケージはパラメータや関数などいくつかの宣言をまとめることができます。 パッケージ内の要素にアクセスするには、:: 記号を使って PackageA::ParamA のようにします。 package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » パッケージ » パッケージ","id":"107","title":"パッケージ"},"108":{"body":"SystemVerilogの要素にアクセスする場合は $sv 名前空間を使えます。例えば、SystemVerilogソースコードの \"ModuleA\" は $sv::ModuleA です。Veryl はこれらの要素が実際に存在するかどうかは確認しません。 module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilogとの相互運用 » SystemVerilogとの相互運用","id":"108","title":"SystemVerilogとの相互運用"},"109":{"body":"デフォルトではプロジェクトのトップレベルアイテム(モジュール、インターフェース、パッケージ)はプライベートです。プライベートとは他のプロジェクトから参照できないことを意味します。 pub キーワードによって他のプロジェクトから見えるように指定することができます。veryl doc コマンドはパブリックなアイテムの ドキュメント のみを生成します。 pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » 可視性 » 可視性","id":"109","title":"可視性"},"11":{"body":"クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。 さらに、明示的な clock と reset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。 SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff (i_clk, i_rst) { if_reset { } else { } }\n}","breadcrumbs":"特徴 » クロックとリセットの抽象化","id":"11","title":"クロックとリセットの抽象化"},"110":{"body":"","breadcrumbs":"Language Reference » 他言語組み込み » 他言語組み込み","id":"110","title":"他言語組み込み"},"111":{"body":"embed 宣言により他言語をコードに埋め込むことができます。embed 宣言の第一引数は埋め込み方法です。以下の方法がサポートされています。 inline: コードをそのまま展開する コードブロックは lang{{{ で始まり、}}} で終わります。以下の lang 指示子がサポートされています。 sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » 他言語組み込み » embed 宣言","id":"111","title":"embed 宣言"},"112":{"body":"include 宣言により他言語のファイルを含めることができます。include 宣言の第一引数は embed 宣言と同じです。第二引数はソースコードからの相対ファイルパスです。 include(inline, \"module.sv\");","breadcrumbs":"Language Reference » 他言語組み込み » include 宣言","id":"112","title":"include 宣言"},"113":{"body":"組み込みテストは #[test(test_name)] アトリビュートでマークすることができます。マークされたブロックはテストとして認識され、 veryl test コマンドによって実行されます。ブロックのトップレベルモジュールはテスト名と同じでなければなりません。 $info、$warning、$error、$fatal システム関数によるメッセージは Veryl コンパイラにより実行ログとして表示されます。$error と $fatal の呼び出しはテストの失敗として扱われます。 以下の例では SystemVerilog のソースコードを embed 宣言で埋め込み、テストとしてマークしています。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} veryl test で使用される RTLシミュレータについては シミュレータ を参照してください。","breadcrumbs":"Language Reference » 組み込みテスト » 組み込みテスト","id":"113","title":"組み込みテスト"},"114":{"body":"ジェネリクスはパラメータオーバーライドでは実現できないアイテムのパラメータ化を可能にします。以下のアイテムがジェネリクスをサポートしています。 関数 モジュール インターフェース パッケージ 構造体 ユニオン それぞれのジェネリック定義はジェネリックパラメータ(T のような大文字1文字がよく使われます)を持ち、定義内で識別子や式として配置できます。ジェネリックパラメータはアイテムの識別子の後に ::<> を用いて宣言します。 ジェネリクスを使用するためには ::<> を用いて実パラメータを与えます。実パラメータとしては数値リテラルと :: で連結された識別子を使用することができます。 さらに、実パラメータはジェネリクス定義位置から参照できなければなりません。例えば、モジュール名はプロジェクト全体から参照できるので、実パラメータとして使用できます。一方、ローカルパラメータは多くの場合、実パラメータとして使用できません。これはローカルパラメータがジェネリクス定義位置からは参照できない場合に発生します。","breadcrumbs":"Language Reference » ジェネリクス » ジェネリクス","id":"114","title":"ジェネリクス"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック関数","id":"115","title":"ジェネリック関数"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックモジュール/インターフェース","id":"116","title":"ジェネリックモジュール/インターフェース"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックパッケージ","id":"117","title":"ジェネリックパッケージ"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // ローカルに定位された型が使用できています // これは `TypeA` が `StructA` の定義位置から参照できるためです var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック構造体","id":"118","title":"ジェネリック構造体"},"119":{"body":"この章ではプロジェクト設定や開発ツールなど開発環境について説明します。","breadcrumbs":"開発環境 » 開発環境","id":"119","title":"開発環境"},"12":{"body":"ドキュメンテーションコメントとしてモジュールの説明を書いておくとドキュメントを自動生成することができます。単なるテキストだけでなく、マークダウン形式や WaveDrom による波形記述を使用することができます。 SystemVerilog\nVeryl // コメント\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"特徴 » ドキュメンテーションコメント","id":"12","title":"ドキュメンテーションコメント"},"120":{"body":"[project] --- プロジェクト定義 name --- プロジェクトの名前 version --- プロジェクトのバージョン authors --- プロジェクトの作者 description --- プロジェクトの説明 license --- プロジェクトのライセンス repository --- プロジェクトのリポジトリの URL [build] --- ビルド設定 clock_type --- クロックタイプ reset_type --- リセットタイプ filelist_type --- ファイルリストのタイプ target --- 出力方法 implicit_parameter_types --- 暗黙のパラメータ型を有効にするかどうか omit_project_prefix --- プロジェクト名のプレフィックスを省略するかどうか strip_comments --- コメントを削除するかどうか [format] --- フォーマット設定 [lint] --- リント設定 [test] --- テスト設定 [publish] --- 公開設定 [dependencies] --- ライブラリの依存関係","breadcrumbs":"Development Environment » プロジェクト設定 » プロジェクト設定","id":"120","title":"プロジェクト設定"},"121":{"body":"Veryl.toml の最初のセクションは [project] です。name と version は必須です。","breadcrumbs":"Development Environment » プロジェクト設定 » [project] セクション","id":"121","title":"[project] セクション"},"122":{"body":"プロジェクト名は生成されるコードのプレフィックスに使われます。そのためプロジェクト名はアルファベットか _ で始まり、英数字と_ しか使ってはいけません。","breadcrumbs":"Development Environment » プロジェクト設定 » name フィールド","id":"122","title":"name フィールド"},"123":{"body":"プロジェクトのバージョンは セマンティックバージョニング に従います。バージョンは以下の3つの数字からなります。 メジャー -- 互換性のない変更時に上げる マイナー -- 互換性のある機能追加時に上げる バッチ -- 互換性のあるバグ修正時に上げる [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » version フィールド","id":"123","title":"version フィールド"},"124":{"body":"オプションの authors フィールドにはこのプロジェクトの作者である人や組織を配列にリストアップします。配列内の各文字列のフォーマットは自由です。名前のみ、Eメールアドレスのみ、名前と括弧で囲んだEメールアドレスといった形式がよく使われます。 [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » プロジェクト設定 » authors フィールド","id":"124","title":"authors フィールド"},"125":{"body":"description はプロジェクトの短い説明です。マークダウンではなくプレーンテキスト形式で書きます。","breadcrumbs":"Development Environment » プロジェクト設定 » description フィールド","id":"125","title":"description フィールド"},"126":{"body":"license フィールドはこのプロジェクトがどのライセンスで公開されているかを指定します。指定する文字列は SPDX 2.3 license expression に従ってください。 [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » license フィールド","id":"126","title":"license フィールド"},"127":{"body":"repository フィールドはプロジェクトのソースリポジトリへのURLです。 [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » プロジェクト設定 » repository フィールド","id":"127","title":"repository フィールド"},"128":{"body":"[build] セクションはコード生成の設定です。","breadcrumbs":"Development Environment » プロジェクト設定 » [build] セクション","id":"128","title":"[build] セクション"},"129":{"body":"clock_type フィールドはフリップフロップを駆動するクロックエッジを指定します。 posedge -- 立ち上がりエッジ negedge -- 立ち下がりエッジ","breadcrumbs":"Development Environment » プロジェクト設定 » clock_type フィールド","id":"129","title":"clock_type フィールド"},"13":{"body":"ノンブロッキング専用の代入演算子はなく、always_ff 内ではノンブロッキング代入が、 always_comb 内ではブロッキング代入が推論されます。そのため always_ff 内でも always_comb 内と同様に様々な複合代入演算子を使用することができます。 SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"特徴 » always_ff での複合代入演算子","id":"13","title":"always_ff での複合代入演算子"},"130":{"body":"reset_type フィールドはリセットの極性と同期性を指定します。 async_low -- 非同期・負極性 async_high -- 非同期・正極性 sync_low -- 同期・負極性 sync_high -- 同期・正極性","breadcrumbs":"Development Environment » プロジェクト設定 » reset_type フィールド","id":"130","title":"reset_type フィールド"},"131":{"body":"filelist_type フィールドはファイルリストのフォーマットを指定します。 absolute -- プレーンテキスト形式の絶対パスのリスト relative -- プレーンテキスト形式の相対パスのリスト flgen -- flgen 形式のファイルリスト","breadcrumbs":"Development Environment » プロジェクト設定 » filelist_type フィールド","id":"131","title":"filelist_type フィールド"},"132":{"body":"target フィールドはコードの生成先を指定します。 source -- ソースコードと同じディレクトリ directory -- 特定のディレクトリ bundle -- 特定のファイル directory あるいは bundle を指定する場合は、ターゲットパスを path キーで指定します。 [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » プロジェクト設定 » target フィールド","id":"132","title":"target フィールド"},"133":{"body":"implicit_parameter_types フィールドは生成コードの parameter 宣言で省略する型をリストアップします。いくつかのEDAツールでは特定の型(例えば string)を parameter 宣言で使うことができないためです。例えば string を指定する場合は以下のようにします。 [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » プロジェクト設定 » implicit_parameter_types フィールド","id":"133","title":"implicit_parameter_types フィールド"},"134":{"body":"omit_project_prefix が true のとき、モジュール・インターフェース・パッケージ名のプロジェクトプレフィックスは省略されます。この値はデフォルトで false です。 [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » プロジェクト設定 » omit_project_prefix フィールド","id":"134","title":"omit_project_prefix フィールド"},"135":{"body":"strip_comments が true のとき、コメント出力は省略されます。この値はデフォルトで false です。 [build]\nstrip_comments = true","breadcrumbs":"Development Environment » プロジェクト設定 » strip_comments フィールド","id":"135","title":"strip_comments フィールド"},"136":{"body":"[format] セクションはコードフォーマッターの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [format] セクション","id":"136","title":"[format] セクション"},"137":{"body":"[lint] セクションはリンタの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [lint] セクション","id":"137","title":"[lint] セクション"},"138":{"body":"[test] セクションはRTLシミュレータによるテストの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [test] セクション","id":"138","title":"[test] セクション"},"139":{"body":"[publish] セクションはプロジェクト公開の設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [publish] セクション","id":"139","title":"[publish] セクション"},"14":{"body":"enumのバリアントはenum毎に独立した名前空間を持っており意図しない名前の衝突を防ぐことができます。 SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"特徴 » 独立した名前空間を持つenumバリアント","id":"14","title":"独立した名前空間を持つenumバリアント"},"140":{"body":"[dependencies] セクションはライブラリの依存関係です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [dependencies] セクション","id":"140","title":"[dependencies] セクション"},"141":{"body":"他の Veryl プロジェクトへの依存関係をプロジェクトに追加したい場合、Veryl.toml に [dependencies] セクションを追加します。エントリの左辺は依存関係へのパス、右辺はバージョンです。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" デフォルトでは依存関係の名前空間はそのプロジェクト名と同じです。もし名前空間を指定したい場合は、 name フィールドを使います。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} 同じ依存関係の複数のバージョンを使う場合は、以下のようにそれぞれの名前を指定できます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » 依存関係 » 依存関係","id":"141","title":"依存関係"},"142":{"body":"Veryl.toml に依存関係を追加したあとは、その依存関係の module、interface、packageを使うことができます。以下は veryl_sample の依存関係に含まれる delay モジュールを使った例です。 module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} 注:上記のコードのプレイボタンの結果は依存関係解決を行わないので正確ではありません。実際のモジュール名は veryl_samlle_delay になります。","breadcrumbs":"Development Environment » 依存関係 » 依存関係の使用","id":"142","title":"依存関係の使用"},"143":{"body":"[dependencies] セクションの version フィールドはバージョン要求を示します。例えば、version = \"0.1.0\" は 0.1.0 と互換性のある最新バージョンを意味します。互換性は セマンティックバージョニング で判定されます。バージョンは以下の3つの部分からなります。 メジャー バージョンはAPI非互換な変更 マイナー バージョンは互換性のある機能追加 パッチ バージョンは互換性のあるバグ修正 もし メジャー バージョンが 0 なら、マイナー が非互換変更と解釈されます。 バージョン 0.1.0、0.1.1、0.2.0があった場合、0.1.1 が選択されます。これは以下のように決定されます。 0.1.0 は 0.1.0 と互換性がある 0.1.1 は 0.1.0 と互換性がある 0.2.0 は 0.1.0 と互換性がない 0.1.1 は互換性のある最新バージョン version フィールドは =0.1.0 のような指定も可能です。詳細は Rust のバージョン要求についてのドキュメントを参照してください。 Specifying Dependencies .","breadcrumbs":"Development Environment » 依存関係 » バージョン要求","id":"143","title":"バージョン要求"},"144":{"body":"プロジェクトを公開するには veryl publish コマンドを使います。公開とはバージョン番号とgitのリビジョンを紐づけることです。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish は以下のように公開されたバージョンの情報を含んだ Veryl.pub というファイルを生成します。 [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" Veryl.pub と生成した後、gitのadd、commit、pushを行えば公開手続きは完了です。gitブランチはデフォルトブランチでなければなりません。これは Veryl が Veryl.pub をデフォルトブランチから探すためです。 $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push Veryl.toml の [publish] セクションに publish_commit を設定して自動コミットを有効にすれば、gitのaddとcommitが自動で実行されます。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » プロジェクトを公開する","id":"144","title":"プロジェクトを公開する"},"145":{"body":"--bump オプションを使うと公開と同時にバージョンを上げることもできます。公開と同様に、Veryl.toml の[publish] セクションに bump_commit を設定すれば自動でcommitされます。 $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » バージョンを上げる","id":"145","title":"バージョンを上げる"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" 設定 設定値 デフォルト 説明 bump_commit ブーリアン false バージョンアップ後の自動コミット publish_commit ブーリアン false 公開後の自動コミット bump_commit_mesasge 文字列 \"chore: Bump version\" バージョンアップ後のコミットメッセージ publish_commit_mesasge 文字列 \"chore: Publish\" 公開後のコミットメッセージ","breadcrumbs":"Development Environment » プロジェクトを公開する » 設定","id":"146","title":"設定"},"147":{"body":"Veryl は任意のディレクトリ構成をサポートしています。これは独立したプロジェクトと他のプロジェクトに組み込まれたプロジェクトでは最適なディレクトリ構成が異なるためです。 この節ではいくつかのディレクトリ構成パターンを示します。","breadcrumbs":"Development Environment » ディレクトリ構成 » ディレクトリ構成","id":"147","title":"ディレクトリ構成"},"148":{"body":"このパターンでは全てのソースコードは src ディレクトリに配置されます。src 以下のサブディレクトリの構成は自由です。 $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl は全ての *.veryl ファイルを収集し、デフォルトではソースと同じディレクトリにコードを生成します。この挙動は以下の設定で明示することもできます。 [build]\ntarget = \"source\" veryl build を実行するとディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースディレクトリ","id":"148","title":"単一のソースディレクトリ"},"149":{"body":"生成されたコードを1つのディレクトリに入れたい場合、Veryl.toml の [build] セクションで target を以下のように設定します。 [build]\ntarget = {type = \"directory\", path = \"target\"} ディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースとターゲットディレクトリ","id":"149","title":"単一のソースとターゲットディレクトリ"},"15":{"body":"ビット連結における繰り返し記述として明示的な repeat 記法を採用し、 複雑な {} の組み合わせより可読性が向上しています。 SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"特徴 » ビット連結における repeat","id":"15","title":"ビット連結における repeat"},"150":{"body":"既存の SystemVerilog プロジェクトに Veryl のプロジェクトを組み込む場合、以下のような構成にすることもできます。 $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files 生成された prj.f は生成されたソースコードを全てリストアップしているので、既存の SystemVerilog ファイルリストと一緒に使うことができます。","breadcrumbs":"Development Environment » ディレクトリ構成 » マルチソースディレクトリ","id":"150","title":"マルチソースディレクトリ"},"151":{"body":"Veryl はデフォルトの .gitignore を提供しません。これはプロジェクト毎にどのファイルを無視する必要があるかが変わるためです。 .gitignore の候補としては以下が考えられます。 dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » ディレクトリ構成 » .gitignore について","id":"151","title":".gitignore について"},"152":{"body":"veryl fmt コマンドでソースコードをフォーマットできます。あるいは言語サーバの textDocument/formatting 要求によるフォーマットにも対応しています。 設定可能な項目は以下の通りです。これは Veryl.toml の [format] セクションで指定できます。 [format]\nindent_width = 4 設定 設定値 説明 indent_width 整数 インデントのスペース幅","breadcrumbs":"Development Environment » フォーマッタ » フォーマッタ","id":"152","title":"フォーマッタ"},"153":{"body":"veryl check あるいは veryl build でリントチェックができます。あるいは言語サーバはリアルタイムでのチェックを行います。 設定可能な項目は以下の通りです。これは Veryl.toml の [lint] セクションで指定できます。 [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » リンタ » リンタ","id":"153","title":"リンタ"},"154":{"body":"このセクションは命名規則の設定です。 設定 設定値 説明 case_enum ケースタイプ [1] enum のケーススタイル case_function ケースタイプ [1] function のケーススタイル case_instance ケースタイプ [1] インスタンスのケーススタイル case_interface ケースタイプ [1] interface のケーススタイル case_modport ケースタイプ [1] modport のケーススタイル case_module ケースタイプ [1] module のケーススタイル case_package ケースタイプ [1] package のケーススタイル case_parameter ケースタイプ [1] parameter のケーススタイル case_port_inout ケースタイプ [1] inout ポートのケーススタイル case_port_input ケースタイプ [1] input ポートのケーススタイル case_port_modport ケースタイプ [1] modport ポートのケーススタイル case_port_output ケースタイプ [1] output ポートのケーススタイル case_reg ケースタイプ [1] レジスタ変数 [2] のケーススタイル case_struct ケースタイプ [1] struct のケーススタイル case_wire ケースタイプ [1] ワイヤ変数 [3] のケーススタイル prefix_enum 文字列 enum のプレフィックス prefix_function 文字列 function のプレフィックス prefix_instance 文字列 インスタンスのプレフィックス prefix_interface 文字列 interface のプレフィックス prefix_modport 文字列 modport のプレフィックス prefix_module 文字列 module のプレフィックス prefix_package 文字列 package のプレフィックス prefix_parameter 文字列 parameter のプレフィックス prefix_port_inout 文字列 inout ポートのプレフィックス prefix_port_input 文字列 input ポートのプレフィックス prefix_port_modport 文字列 modport ポートのプレフィックス prefix_port_output 文字列 output ポートのプレフィックス prefix_reg 文字列 レジスタ変数 [2] のプレフィックス prefix_struct 文字列 struct のプレフィックス prefix_wire 文字列 ワイヤ変数 [3] のプレフィックス re_forbidden_enum 正規表現 [4] enum の禁止正規表現 re_forbidden_function 正規表現 [4] function の禁止正規表現 re_forbidden_instance 正規表現 [4] インスタンスの禁止正規表現 re_forbidden_interface 正規表現 [4] interface の禁止正規表現 re_forbidden_modport 正規表現 [4] modport の禁止正規表現 re_forbidden_module 正規表現 [4] module の禁止正規表現 re_forbidden_package 正規表現 [4] package の禁止正規表現 re_forbidden_parameter 正規表現 [4] parameter の禁止正規表現 re_forbidden_port_inout 正規表現 [4] inout ポートの禁止正規表現 re_forbidden_port_input 正規表現 [4] input ポートの禁止正規表現 re_forbidden_port_modport 正規表現 [4] modport ポートの禁止正規表現 re_forbidden_port_output 正規表現 [4] output ポートの禁止正規表現 re_forbidden_reg 正規表現 [4] レジスタ変数 [2] の禁止正規表現 re_forbidden_struct 正規表現 [4] struct の禁止正規表現 re_forbidden_wire 正規表現 [4] ワイヤ変数 [3] の禁止正規表現 re_required_enum 正規表現 [4] enum の必須正規表現 re_required_function 正規表現 [4] function の必須正規表現 re_required_instance 正規表現 [4] インスタンスの必須正規表現 re_required_interface 正規表現 [4] interface の必須正規表現 re_required_modport 正規表現 [4] modport の必須正規表現 re_required_module 正規表現 [4] module の必須正規表現 re_required_package 正規表現 [4] package の必須正規表現 re_required_parameter 正規表現 [4] parameter の必須正規表現 re_required_port_inout 正規表現 [4] inout ポートの必須正規表現 re_required_port_input 正規表現 [4] input ポートの必須正規表現 re_required_port_modport 正規表現 [4] modport ポートの必須正規表現 re_required_port_output 正規表現 [4] output ポートの必須正規表現 re_required_reg 正規表現 [4] レジスタ変数 [2] の必須正規表現 re_required_struct 正規表現 [4] struct の必須正規表現 re_required_wire 正規表現 [4] ワイヤ変数 [3] の必須正規表現 設定可能な値は以下です。 \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase \".*\"のような正規表現です。使用可能な構文は こちら . レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 ワイヤ変数とは always_comb で代入される変数です。合成フェーズでワイヤにマップされます。","breadcrumbs":"Development Environment » リンタ » [lint.naming] セクション","id":"154","title":"[lint.naming] セクション"},"155":{"body":"RTLシミュレータによるテストは veryl test で実行することができます。サポートされているシミュレータは以下の通りです。 Verilator Synopsys VCS AMD Vivado Simulator Verilatorはデフォルトのシミュレータです。Veryl.tomlやコマンドラインオプションでシミュレータが指定されていない場合に使用されます。 設定可能な項目は以下の通りです。これは Veryl.toml の [test] セクションで指定できます。 [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » シミュレータ » シミュレータ","id":"155","title":"シミュレータ"},"156":{"body":"このセクションはテストの設定です。 設定 設定値 説明 simulator シミュレータ名 [1] デフォルトのシミュレータ 設定可能な値は以下です。 \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » シミュレータ » [test] セクション","id":"156","title":"[test] セクション"},"157":{"body":"このセクションはVerilatorによるテストの設定です。 設定 設定値 説明 compile_args [文字列] verilator コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.verilator] セクション","id":"157","title":"[test.verilator] セクション"},"158":{"body":"このセクションはVCSによるテストの設定です。 設定 設定値 説明 compile_args [文字列] vcs コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vcs] セクション","id":"158","title":"[test.vcs] セクション"},"159":{"body":"このセクションはVivadoによるテストの設定です。 設定 設定値 説明 compile_args [文字列] xvlog コマンドへの追加の引数 elaborate_args [文字列] xelab コマンドへの追加の引数 simulate_args [文字列] xsim コマンドへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vivado] セクション","id":"159","title":"[test.vivado] セクション"},"16":{"body":"三項演算子の代わりに if 式と case 式を採用することで、比較するアイテム数が多い場合の可読性が向上します。 SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"特徴 » if / case 式","id":"16","title":"if / case 式"},"160":{"body":"veryl-ls は言語サーバのバイナリです。使用するにはエディタの設定やプラグインが必要です。 設定可能な項目は以下の通りです。これは各エディタの設定から指定できます。 設定 設定値 デフォルト 説明 useOperatorCompletion ブーリアン false 演算子(例 '>:', '>>')の補完を有効にする","breadcrumbs":"Development Environment » 言語サーバ » 言語サーバ","id":"160","title":"言語サーバ"},"161":{"body":"いくつかのツールはサポートしていない SystemVerilog 構文があります。これをサポートするために、 Veryl.toml の設定でコード生成をカスタマイズすることができます。","breadcrumbs":"Development Environment » 互換性 » 互換性","id":"161","title":"互換性"},"162":{"body":"","breadcrumbs":"Development Environment » 互換性 » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivadoは string 型の parameter をサポートしていません。 parameter string a = \"A\"; その場合は implicit_parameter_types を設定してください。 [build]\nimplicit_parameter_types = [\"string\"] 設定すると生成コードは以下のようになります。 parameter a = \"A\";","breadcrumbs":"Development Environment » 互換性 » 文字列パラメータ","id":"163","title":"文字列パラメータ"},"164":{"body":"プロジェクトのドキュメントは veryl doc コマンドで生成することができます。全てのパブリックなモジュールとインターフェース、パッケージがリストアップされます。(参照 可視性 ) 詳細な説明を書きたい場合はドキュメンテーションコメントを追加することもできます。ドキュメンテーションコメントでは マークダウン 記法を使えます。 WaveDrom による波形記述もサポートされています。wavedrom コードブロック内で、WaveDromの構文を記述することができます。構文の詳細は チュートリアル を参照してください。 /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} 設定可能な項目は以下の通りです。これは Veryl.toml の [doc] セクションで指定できます。 [doc]\npath = \"document\" 設定 設定値 デフォルト 説明 path 文字列 \"doc\" 出力ディレクトリへのパス","breadcrumbs":"Development Environment » ドキュメンテーション » ドキュメンテーション","id":"164","title":"ドキュメンテーション"},"165":{"body":"ビルド済みのVerylバイナリをダウンロードするための公式GitHub actionが提供されています。 https://github.com/marketplace/actions/setup-veryl GitHub actionスクリプトの例は以下の通りです。 フォーマットとビルドチェック name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check GitHub Pagesからドキュメントを公開する name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Verilator によるテスト このために GitHub action veryl-lang/setup-verilator を公開しています。 name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"補遺 » 補遺","id":"166","title":"補遺"},"167":{"body":"Veryl のパーサはパーサジェネレータ parol を使っています。以下の parol の構文定義が正式な構文です。 %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » 構文 » 構文","id":"167","title":"構文"},"168":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » セマンティックエラー","id":"168","title":"セマンティックエラー"},"169":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"閉区間 ..= と半開区間 .. を表す記法を導入し、 for 、inside で範囲を統一的に記述できるようにしました。また、inside の逆を意味する outside も導入しました。 SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"特徴 » 範囲 for / inside / outside","id":"17","title":"範囲 for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"最上位ビットを示す msb 記法により、パラメータから最上位ビットを計算する必要がなくなり、より意図を明確にすることができます。 SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"特徴 » msb 記法","id":"18","title":"msb 記法"},"180":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"変数宣言と同時に値を束縛する専用の let 文が用意されており、SystemVerilogではサポートされていなかった様々な場所で使用することができます。 SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"特徴 » let 文","id":"19","title":"let 文"},"190":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"VerylはSystemVerilogとの相互運用性を考慮して設計されており、既存のSystemVerilogコンポーネントやプロジェクトとの組み合わせや部分的な置き換えをスムーズに行うことができます。さらに、VerylからトランスパイルされたSystemVerilogソースコードは、その高い可読性により、シームレスな統合やデバッグを可能にします。","breadcrumbs":"概要 » 相互運用性","id":"2","title":"相互運用性"},"20":{"body":"変数のスコープを限定するための名前付きブロックを定義することができます。 SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"特徴 » 名前付きブロック","id":"20","title":"名前付きブロック"},"21":{"body":"pub キーワードの付かないモジュールはプロジェクト外から参照できず、ドキュメントの自動生成にも含まれません。これによりプロジェクト外に公開したいものと内部実装とを区別することができます。 SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} いくつかのブラウザはデフォルトでGIF動画の再生を停止しています。ブラウザの設定を確認してください。","breadcrumbs":"特徴 » 可視性制御","id":"21","title":"可視性制御"},"22":{"body":"Veryl を使ってみましょう。この章では Veryl のインストール、サンプルプロジェクトの作成とビルドまでを行います。","breadcrumbs":"はじめに » はじめに","id":"22","title":"はじめに"},"23":{"body":"Veryl バイナリをダウンロードしてインストールできます。もし Rust ユーザであれば cargo コマンドでインストールすることもできます。","breadcrumbs":"Getting Started » インストール » インストール","id":"23","title":"インストール"},"24":{"body":"Veryl は git コマンドを内部で使用します。 git が起動できることを確認しておいてください。","breadcrumbs":"Getting Started » インストール » 要件","id":"24","title":"要件"},"25":{"body":"","breadcrumbs":"Getting Started » インストール » インストール方法の選択","id":"25","title":"インストール方法の選択"},"26":{"body":"リリースページ からダウンロードして、パスの通ったところに展開してください。","breadcrumbs":"Getting Started » インストール » バイナリのダウンロード","id":"26","title":"バイナリのダウンロード"},"27":{"body":"cargo コマンドからインストールすることもできます。 cargo install veryl veryl-ls","breadcrumbs":"Getting Started » インストール » Cargo","id":"27","title":"Cargo"},"28":{"body":"公式には Visual Studio Code と Vim / Neovim がサポートされています。","breadcrumbs":"Getting Started » インストール » エディタ設定","id":"28","title":"エディタ設定"},"29":{"body":"Visual Studio Code 向けに Veryl 拡張が提供されています。拡張はファイルタイプの検出とシンタックスハイライト、言語サーバの組み込みを提供します。拡張パネルから \"Veryl\" で検索するか、以下の URL からインストールしてください。 Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » インストール » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Verylはパッケージマネージャ、ビルドツール、そしてVSCode、Vim、Emacsなどの主要なエディタに対応するリアルタイムチェッカー、自動補完機能、自動フォーマッタなど、豊富な開発支援ツールを備えています。これらのツールは、開発プロセスを加速し、生産性を大幅に向上させることができます。 これらの特性により、Verylは設計者が高品質なハードウェア設計をより効率的かつ生産的に行うための強力なサポートを提供します。","breadcrumbs":"概要 » 生産性","id":"3","title":"生産性"},"30":{"body":"Vim / Neovim 向けに Veryl プラグインが提供されています。プラグインはファイルタイプの検出とシンタックスハイライトを提供します。プラグインのインストールと言語サーバの組み込みは以下の URL を参照してください。 Vim / Neovim plugin","breadcrumbs":"Getting Started » インストール » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl は言語サーバを提供しているので、言語サーバをサポートしているエディタ(例えば Emacs)であれば利用できます。","breadcrumbs":"Getting Started » インストール » そのほかのエディタ","id":"31","title":"そのほかのエディタ"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"まず始めに、新しい Veryl プロジェクトを作りましょう。 veryl new hello コマンドを実行すると、以下のようなディレクトリとファイルが作成されます。 $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml はプロジェクトの設定ファイルです。 [project]\nname = \"hello\"\nversion = \"0.1.0\" 全設定の説明は こちら 。","breadcrumbs":"Getting Started » Hello, World! » プロジェクトを作る","id":"33","title":"プロジェクトを作る"},"34":{"body":"ソースコードはプロジェクトディレクトリ内のどこに書いても構いません。これは Veryl プロジェクトが独立したプロジェクトである場合もあれば、他のSystemVerilog プロジェクトに組み込まれている場合もあるからです。Veryl のソースコードの拡張子は .veryl です。 例えば以下のコードを src/hello.veryl に書いてみましょう。 module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files 注:この本のいくつかのソースコードには、マウスをホバーすると現れるプレイボタン \"▶\" があります。ボタンをクリックすると、トランスパイルされた SystemVerilog のコードが現れます。module ModuleA のコードのボタンを押してみましょう。","breadcrumbs":"Getting Started » Hello, World! » コードを書く","id":"34","title":"コードを書く"},"35":{"body":"veryl build コマンドで SystemVerilog のソースコードを生成できます。 $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files デフォルトでは SystemVerilog のコードは Veryl のコードと同じディレクトリに生成されます。つまり src/hello.sv です。 module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule さらに、生成されたコードのファイルリスト hello.f も生成されます。これは SystemVerilog コンパイラで使用できます。 Verilator で使用するには以下のようにします。 $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » ビルドする","id":"35","title":"ビルドする"},"36":{"body":"Veryl は SystemVerilog とほとんど同じセマンティクスを持っています。もし SystemVerilog に慣れていれば、いくつかの例をみるだけで Veryl の構文をだいたい把握できるでしょう。 この小さな例では、コメントに SystemVerilog 構文との違いが書かれています。 module ModuleA ( // 識別子が先で `:` の後に型が来ます // ビット幅は `<>` で表されます i_data: input logic<10>, o_data: output logic<10>, // `begin`/`end` ではなく `{}` を使います\n) { assign o_data = i_data;\n} さらに、この章のコードブロックは編集することもできます。それぞれのコードを編集して実行してみましょう。 Veryl のソースコードは SystemVerilog と同様に、module、interface、package を持ちます。この章ではそれらの例を示します。","breadcrumbs":"コード例 » コード例","id":"36","title":"コード例"},"37":{"body":"// モジュール定義\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // 末尾カンマが可能です\n) ( i_clk : input clock , // `clock` はクロックのための特別な型です i_rst : input reset , // `reset` はリセットのための特別な型です i_sel : input logic , i_data: input logic [2], // `[]` は SystemVerilog のアンパック配列です o_data: output logic , // `<>` は SystemVerilog のパック配列です\n) { // ローカルパラメータ宣言 // モジュール内では `param` は使えません local ParamC: u32 = 10; // 変数宣言 var r_data0: logic; var r_data1: logic; // 値の束縛 let _w_data2: logic = i_data; // リセット付き always_ff 文 // `always_ff` はクロック(必須)とリセット(オプション)を持ちます // `if_reset` は `if (i_rst)` を意味し、リセット極性を隠蔽するための構文です // `if` 文に `()` はいりません // `always_ff` 内の `=` はノンブロッキング代入です always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // リセットなし always_ff 文 always_ff (i_clk) { r_data1 = r_data0; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » モジュール » モジュール","id":"37","title":"モジュール"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // インスタンス宣言 // インスタンス宣言は `inst` キーワードではじまります // ポート接続は `()` 内で指定します // 各ポートの接続は `[port_name]:[variable]` のような形式になります // `[port_name]` は `[port_name]:[port_name]` を意味します inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // パラメータオーバーライド付きインスタンス宣言 // パラメータの接続記法はポートと同様です inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » インスタンス » インスタンス","id":"38","title":"インスタンス"},"39":{"body":"// インターフェース定義\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport 定義 modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // modport によるポート宣言 intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // インターフェースのインスタンス inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » インターフェース » インターフェース","id":"39","title":"インターフェース"},"4":{"body":"この章ではVerylの特徴的な機能をわかりやすい例とともに紹介します。 リアルタイム診断 自動フォーマット 組み込みテスト 依存関係管理 ジェネリクス 末尾カンマ クロックとリセットの抽象化 ドキュメンテーションコメント always_ff での複合代入演算子 独立した名前空間を持つenumバリアント ビット連結における repeat if / case 式 範囲 for / inside / outside msb 記法 let 文 名前付きブロック 可視性制御","breadcrumbs":"特徴 » 特徴","id":"4","title":"特徴"},"40":{"body":"// パッケージ定義\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » パッケージ » パッケージ","id":"40","title":"パッケージ"},"41":{"body":"この章では Veryl の言語仕様について説明します。","breadcrumbs":"言語リファレンス » 言語リファレンス","id":"41","title":"言語リファレンス"},"42":{"body":"Veryl のソースコードはいくつかの module、interface、package からなります。 module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} トランスパイルされたコードにおける module、interface、package の名前には先頭にプロジェクト名が付きます。このサンプルコードでは project_ が付きます。これはプロジェクト間で名前が衝突するのを防ぐためです。","breadcrumbs":"Language Reference » ソースコードの構造 » ソースコードの構造","id":"42","title":"ソースコードの構造"},"43":{"body":"この章では Veryl の字句構造について説明します。まず始めに、全体的なことがらからです。","breadcrumbs":"Language Reference » 字句構造 » 字句構造","id":"43","title":"字句構造"},"44":{"body":"Veryl のソースコードは UTF-8 エンコーディングでなければなりません。","breadcrumbs":"Language Reference » 字句構造 » エンコーディング","id":"44","title":"エンコーディング"},"45":{"body":"(空白)、\\t、\\n は空白として扱われ、Veryl のパーサはこれらを全て無視します。","breadcrumbs":"Language Reference » 字句構造 » 空白","id":"45","title":"空白"},"46":{"body":"行コメントと複数行コメントが使えます。ほとんどのコメントはトランスパイルされたコードにも出力されます。 // 行コメント /*\n複数 行 コメント\n*/","breadcrumbs":"Language Reference » 字句構造 » コメント","id":"46","title":"コメント"},"47":{"body":"/// ではじまる行コメントはドキュメンテーションコメントとして扱われます。ドキュメンテーションコメントはドキュメントの生成に使われます。 /// ドキュメンテーションコメント","breadcrumbs":"Language Reference » 字句構造 » ドキュメンテーションコメント","id":"47","title":"ドキュメンテーションコメント"},"48":{"body":"識別子は ASCII のアルファベットと数値、 _ からなります。先頭が数値であってはなりません。正式な定義は以下の正規表現です。 [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » 字句構造 » 識別子","id":"48","title":"識別子"},"49":{"body":"\" で囲んだものが文字列になります。\\\" や \\n のように \\ によるエスケープも可能です。 \"Hello, World!\"","breadcrumbs":"Language Reference » 字句構造 » 文字列","id":"49","title":"文字列"},"5":{"body":"変数の未定義・未使用・未代入といった問題はエディタでの編集中にリアルタイムに通知されます。次の例では、未使用変数として通知された変数に _ プレフィックスを付加することで未使用であることを明示し、警告を抑制しています。 diagnostics ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » リアルタイム診断","id":"5","title":"リアルタイム診断"},"50":{"body":"ほとんどの演算子は SystemVerilog と同じです。いくつか違いがあるので注意してください。 <: 小なり演算子です。SystemVerilog の < と同じです。 >: 大なり演算子です。SystemVerilog の > と同じです。 // 単項算術演算\na = +1;\na = -1; // 単項論理演算\na = !1;\na = ~1; // 単項集約演算\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // 二項算術演算\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // シフト演算\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // 比較演算\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // ビット演算\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // 二項論理演算\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » 演算子 » 演算子","id":"50","title":"演算子"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 数値","id":"51","title":"数値"},"52":{"body":"// 整数\n0123456789\n01_23_45_67_89 // 2進数\n32'b01xzXZ\n32'b01_xz_XZ // 8進数\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // 10進数\n32'd0123456789\n32'd01_23_45_67_89 // 16進数\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 整数","id":"52","title":"整数"},"53":{"body":"// 全て 0\n'0 // 全て 1\n'1 // 全て x\n'x\n'X // 全て z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 全ビットのセット","id":"53","title":"全ビットのセット"},"54":{"body":"ビット幅指定は省略することができます。省略された場合、トランスパイルされたコードでは適切なビット幅が付与されます。 module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 幅なし整数","id":"54","title":"幅なし整数"},"55":{"body":"\"全ビットのセット\" にビット幅指定を付与することもできます。 module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 指定ビットのセット","id":"55","title":"指定ビットのセット"},"56":{"body":"// 浮動小数点数\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // 指数表記\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 浮動小数点数","id":"56","title":"浮動小数点数"},"57":{"body":"'{} は配列リテラルを表します。リテラル内には式、repeat キーワード、default キーワードを配置することができます。 module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » 配列リテラル » 配列リテラル","id":"57","title":"配列リテラル"},"58":{"body":"この章ではデータ型について説明します。","breadcrumbs":"Language Reference » データ型 » データ型","id":"58","title":"データ型"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 組み込み型","id":"59","title":"組み込み型"},"6":{"body":"エディタと連携した自動フォーマット機能のほか、コマンドラインでのフォーマットやCIでのフォーマットチェックも可能です。 format ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » 自動フォーマット","id":"6","title":"自動フォーマット"},"60":{"body":"logic は4値(0、1、x、z)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な4値データ型","id":"60","title":"幅指定可能な4値データ型"},"61":{"body":"bit は2値(0、1)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な2値データ型","id":"61","title":"幅指定可能な2値データ型"},"62":{"body":"整数型にはいくつかの種類があります。 u32:32ビットの符号なし整数 u64:64ビットの符号なし整数 i32:32ビットの符号付き整数 i64:64ビットの符号付き整数 module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 整数型","id":"62","title":"整数型"},"63":{"body":"浮動小数点数型にもいくつかの種類があります。 f32:32ビット浮動小数点数 f64:64ビット浮動小数点数 いずれも IEEE Std 754 準拠の表現です。 module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 浮動小数点数型","id":"63","title":"浮動小数点数型"},"64":{"body":"string は文字列を表す型です。 module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 文字列型","id":"64","title":"文字列型"},"65":{"body":"type は型の種類を表す型です。type 型の変数は param か local としてのみ定義可能です。 module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » Type型","id":"65","title":"Type型"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユーザ定義型","id":"66","title":"ユーザ定義型"},"67":{"body":"struct は複合データ型です。いくつかのフィールドを持つことができ、. 演算子を通してアクセスできます。 module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 構造体","id":"67","title":"構造体"},"68":{"body":"enum は列挙型です。名前の付いたバリアントを複数持ち、enum 型の変数にはそのバリアントのうち1つだけをセットできます。バリアント名は [enum name]::[variant name] の形式で指定可能です。それぞれのバリアントは対応する整数値を持ち、= で指定することができます。指定されなかった場合は自動的に割り当てられます。 module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 列挙型","id":"68","title":"列挙型"},"69":{"body":"union はパックされたタグなしの直和型で、SystemVerilog では packed union にトランスパイルされます。ユニオンのそれぞれのバリアントの幅は同じでなければなりません。 module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユニオン","id":"69","title":"ユニオン"},"7":{"body":"SystemVerilogで書かれたテストコードをVerylに埋め込み、veryl test コマンドで実行することができます。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"特徴 » 組み込みテスト","id":"7","title":"組み込みテスト"},"70":{"body":"type キーワードを使って、スカラー型や配列型への型エイリアスを定義することができます。 module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 型定義","id":"70","title":"型定義"},"71":{"body":"任意のデータ型に対して [] と付与することで配列を定義することができます。配列の長さは [] 内の値で指定します。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} [X, Y, Z,,,] のように多次元配列も定義できます。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » 配列 » 配列","id":"71","title":"配列"},"72":{"body":"clock はクロック配線を表す特別な型です。クロックの極性を指定するため以下の3種類があります。 clock: ビルド時の設定で指定される極性を持つクロック型 clock_posedge: 正極性のクロック型 clock_negedge: 負極性のクロック型 reset はリセット配線を表す特別な型です。リセットの極性と同期・非同期を指定するため以下の5種類があります。 reset: ビルド時の設定で指定される極性と同期性を持つリセット型 reset_async_high: 正極性の非同期リセット型 reset_async_low: 負極性の非同期リセット型 reset_sync_high: 正極性の同期リセット型 reset_sync_low: 負極性の同期リセット型 特別な要件がなければ、コードの再利用を高めるため clock と reset の使用を推奨します。 module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » クロックとリセット » クロックとリセット","id":"72","title":"クロックとリセット"},"73":{"body":"この章では式について説明します。式は変数や演算子、関数呼び出しなどを組み合わせたもので、評価して値を得ることができます。","breadcrumbs":"Language Reference » 式 » 式","id":"73","title":"式"},"74":{"body":"式内での演算子の優先順位は SystemVerilog とほとんど同じです。 演算子 結合性 優先順位 () [] :: . 左 高い + - ! ~ & ~& | ~| ^ ~^ ^~ (単項) 左 ** 左 * / % 左 + - (二項) 左 << >> <<< >>> 左 <: <= >: >= 左 == != === !== ==? !=? 左 & (二項) 左 ^ ~^ ^~ (二項) 左 |(二項) 左 && 左 || 左 = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= なし {} なし 低い","breadcrumbs":"Language Reference » Expression » 演算子の優先順位 » 演算子の優先順位","id":"74","title":"演算子の優先順位"},"75":{"body":"関数は function_name(argument) の形式で呼び出すことができます。$clog2 のような SystemVerilog のシステム関数も使えます。 module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » 関数呼び出し » 関数呼び出し","id":"75","title":"関数呼び出し"},"76":{"body":"{} はビット連結を表します。{} の中では repeat キーワードを使うことで指定されたオペランドを繰り返すこともできます。 module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » 連結 » 連結","id":"76","title":"連結"},"77":{"body":"if を用いた条件式を使えます。if キーワードの後に条件を示す節を置きますが、() で囲む必要はありません。if 式は常になんらかの値に評価される必要があるため else は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » if » if","id":"77","title":"if"},"78":{"body":"もう一つの条件式が case です。case は 式: 式 という形式の条件を複数持ちます。もし case キーワードの後の式と条件の左側の式が一致すれば、その条件の右側の式が返されます。default はそれ以外の条件が全て失敗したときに返される特別な条件です。case 式は常になんらかの値に評価される必要があるため default は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » case » case","id":"78","title":"case"},"79":{"body":"[] はビット選択演算子です。[] に式を指定すれば1ビットを選択できます。範囲選択する場合は [式:式] とします。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » ビット選択 » ビット選択","id":"79","title":"ビット選択"},"8":{"body":"Verylには依存関係の管理機能が組み込まれており、プロジェクト設定に以下のようにライブラリのリポジトリパスとバージョンを追加するだけで、簡単にライブラリを組み込むことができます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"特徴 » 依存関係管理","id":"8","title":"依存関係管理"},"80":{"body":"範囲は範囲演算子で指定できます。範囲演算子には以下の2種類があります。 ..:半開区間 ..=:閉区間 範囲は for 文などの場所で使うことができます。 module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » 範囲 » 範囲","id":"80","title":"範囲"},"81":{"body":"msb と lsb は [] によるビット選択で使用できます。msb はオペランドの最上位ビットを意味します。lsb はオペランドの最下位ビットを意味し、0と同じです。 module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » msb / lsb » msb / lsb","id":"81","title":"msb / lsb"},"82":{"body":"inside は 指定された式が {} で与えられた条件内にあるかどうかを調べます。条件は単一の式または 範囲 を指定できます。条件を満たすとき inside は 1 を、そうでなければ 0 を返します。outside はその逆です。 module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » inside / outside » inside / outside","id":"82","title":"inside / outside"},"83":{"body":"この章では文について説明します。文は always_ff や always_comb などいくつかの宣言で使用することができます。","breadcrumbs":"Language Reference » 文 » 文","id":"83","title":"文"},"84":{"body":"代入文は 変数 = 式; の形式です。SystemVerilog と異なり、always_comb でも always_ff でも代入演算子は = です。以下のような代入演算子もあります。 +=:加算代入 -=:減算代入 *=:乗算代入 /=:除算代入 %=:剰余代入 &=:ビットAND代入 |=:ビットOR代入 ^=:ビットXOR代入 <<=:論理左シフト代入 >>=:論理右シフト代入 <<<=:算術左シフト代入 >>>=:算術右シフト代入 module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » 代入 » 代入","id":"84","title":"代入"},"85":{"body":"関数呼び出しは文として使うこともできます。この場合、関数の戻り値は無視されます。 module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » 関数呼び出し » 関数呼び出し","id":"85","title":"関数呼び出し"},"86":{"body":"if は文として使うこともできます。if 式との違いは {} 内に文を書くことです。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » if » if","id":"86","title":"if"},"87":{"body":"case は文として使うこともできます。条件の右側は文になります。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » case » case","id":"87","title":"case"},"88":{"body":"for 文は繰り返しを表します。in キーワードの前にループ変数を、後に 範囲 を書きます。 break を使ってループを中断することもできます。 module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » for » for","id":"88","title":"for"},"89":{"body":"return 文は関数からの戻りを示します。return キーワードの後の式は関数の戻り値です。 module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » return » return","id":"89","title":"return"},"9":{"body":"ジェネリクスによるコード生成は従来のパラメータオーバーライドよりさらに再利用性の高いコードを記述することができます。以下の例のような関数のパラメータだけでなく、インスタンスのモジュール名や構造体定義の型名もパラメータ化することができます。 SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"特徴 » ジェネリクス","id":"9","title":"ジェネリクス"},"90":{"body":"let 文はある名前に値を束縛します。これは always_ff 、 always_comb および関数宣言の中で使うことができます。 let 文はブロック中のどこにでも置くことができます。 module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » let » let","id":"90","title":"let"},"91":{"body":"この章では宣言について説明します。","breadcrumbs":"Language Reference » 宣言 » 宣言","id":"91","title":"宣言"},"92":{"body":"変数宣言は var キーワードで始まり、変数名、:、変数の型と続きます。 未使用の変数は警告が発生します。_ で始まる変数名は未使用変数を意味し、警告を抑制します。 宣言時に名前に値を束縛する場合は var の代わりに let を使います。 module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » 変数 » 変数","id":"92","title":"変数"},"93":{"body":"パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。 module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » パラメータ » パラメータ","id":"93","title":"パラメータ"},"94":{"body":"レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。 if_reset は always_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml の [build] セクションで設定できます。 module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » レジスタ » レジスタ","id":"94","title":"レジスタ"},"95":{"body":"always_comb で代入される変数は組み合わせ回路を意味します。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » 組み合わせ回路 » 組み合わせ回路","id":"95","title":"組み合わせ回路"},"96":{"body":"assign 宣言で変数に式を代入することができます。 module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » assign » assign","id":"96","title":"assign"},"97":{"body":"関数は function キーワードで宣言できます。引数は () 内に書き、戻り値の型を -> の後に書きます。 関数が戻り値を持たない場合、-> は省略できます。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » 関数 » 関数","id":"97","title":"関数"},"98":{"body":"initial ブロック内の文はシミュレーション開始時に実行され、final は終了時です。どちらも論理合成では無視され、デバッグやアサーションに使うことができます。 module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » initial / final » initial / final","id":"98","title":"initial / final"},"99":{"body":"アトリビュートは変数宣言などいくつかの宣言に注釈を付けることができます。","breadcrumbs":"Language Reference » Declaration » アトリビュート » アトリビュート","id":"99","title":"アトリビュート"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.0},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":1.7320508075688772},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":1.7320508075688772}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":1,"docs":{"164":{"tf":2.23606797749979}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.4142135623730951},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.0},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.0}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.4142135623730951},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.4142135623730951},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.4142135623730951},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":1.7320508075688772}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":4.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.4142135623730951},"155":{"tf":1.7320508075688772},"156":{"tf":1.0},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":6,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"65":{"tf":2.449489742783178},"70":{"tf":2.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.4142135623730951},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":1.7320508075688772},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":27,"docs":{"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":2.23606797749979},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":6,"docs":{"28":{"tf":1.0},"29":{"tf":2.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":15,"docs":{"164":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":14,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"167":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.7320508075688772},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":11,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}},"t":{"df":13,"docs":{"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.449489742783178}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.7320508075688772},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.7320508075688772},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.7320508075688772},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":2.0}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":14,"docs":{"167":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":8,"docs":{"167":{"tf":4.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.7320508075688772},"155":{"tf":1.7320508075688772},"156":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":18,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":2.8284271247461903},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.23606797749979},"71":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.7320508075688772},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":2.0},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"96":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"128":{"tf":1.0}}},"df":0,"docs":{}}}}},"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"140":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"14":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"136":{"tf":1.0}}}},"df":0,"docs":{}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"122":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"121":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"139":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":2,"docs":{"138":{"tf":1.0},"156":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":1,"docs":{"65":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"123":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}}); \ No newline at end of file +Object.assign(window.search, {"doc_urls":["01_introduction.html#ハードウェア記述言語-veryl","01_introduction.html#最適化された構文","01_introduction.html#相互運用性","01_introduction.html#生産性","02_features.html#特徴","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#はじめに","03_getting_started/01_installation.html#インストール","03_getting_started/01_installation.html#要件","03_getting_started/01_installation.html#インストール方法の選択","03_getting_started/01_installation.html#バイナリのダウンロード","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#エディタ設定","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#そのほかのエディタ","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#プロジェクトを作る","03_getting_started/02_hello_world.html#コードを書く","03_getting_started/02_hello_world.html#ビルドする","04_code_examples.html#コード例","04_code_examples/01_module.html#モジュール","04_code_examples/02_instantiation.html#インスタンス","04_code_examples/03_interface.html#インターフェース","04_code_examples/04_package.html#パッケージ","05_language_reference.html#言語リファレンス","05_language_reference/01_source_code_structure.html#ソースコードの構造","05_language_reference/02_lexical_structure.html#字句構造","05_language_reference/02_lexical_structure.html#エンコーディング","05_language_reference/02_lexical_structure.html#空白","05_language_reference/02_lexical_structure.html#コメント","05_language_reference/02_lexical_structure.html#ドキュメンテーションコメント","05_language_reference/02_lexical_structure.html#識別子","05_language_reference/02_lexical_structure.html#文字列","05_language_reference/02_lexical_structure/01_operator.html#演算子","05_language_reference/02_lexical_structure/02_number.html#数値","05_language_reference/02_lexical_structure/02_number.html#整数","05_language_reference/02_lexical_structure/02_number.html#全ビットのセット","05_language_reference/02_lexical_structure/02_number.html#幅なし整数","05_language_reference/02_lexical_structure/02_number.html#指定ビットのセット","05_language_reference/02_lexical_structure/02_number.html#浮動小数点数","05_language_reference/02_lexical_structure/03_array_literal.html#配列リテラル","05_language_reference/03_data_type.html#データ型","05_language_reference/03_data_type/01_builtin_type.html#組み込み型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な4値データ型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な2値データ型","05_language_reference/03_data_type/01_builtin_type.html#整数型","05_language_reference/03_data_type/01_builtin_type.html#浮動小数点数型","05_language_reference/03_data_type/01_builtin_type.html#文字列型","05_language_reference/03_data_type/01_builtin_type.html#type型","05_language_reference/03_data_type/02_user_defined_type.html#ユーザ定義型","05_language_reference/03_data_type/02_user_defined_type.html#構造体","05_language_reference/03_data_type/02_user_defined_type.html#列挙型","05_language_reference/03_data_type/02_user_defined_type.html#ユニオン","05_language_reference/03_data_type/02_user_defined_type.html#型定義","05_language_reference/03_data_type/03_array.html#配列","05_language_reference/03_data_type/04_clock_reset.html#クロックとリセット","05_language_reference/04_expression.html#式","05_language_reference/04_expression/01_operator_precedence.html#演算子の優先順位","05_language_reference/04_expression/02_function_call.html#関数呼び出し","05_language_reference/04_expression/03_concatenation.html#連結","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#ビット選択","05_language_reference/04_expression/07_range.html#範囲","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#文","05_language_reference/05_statement/01_assignment.html#代入","05_language_reference/05_statement/02_function_call.html#関数呼び出し","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#宣言","05_language_reference/06_declaration/01_variable.html#変数","05_language_reference/06_declaration/02_parameter.html#パラメータ","05_language_reference/06_declaration/03_register.html#レジスタ","05_language_reference/06_declaration/04_combinational.html#組み合わせ回路","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#関数","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#アトリビュート","05_language_reference/06_declaration/08_attribute.html#sv-アトリビュート","05_language_reference/06_declaration/09_generate.html#生成","05_language_reference/06_declaration/10_instantiation.html#インスタンス","05_language_reference/06_declaration/11_named_block.html#名前付きブロック","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#モジュール","05_language_reference/08_interface.html#インターフェース","05_language_reference/09_package.html#パッケージ","05_language_reference/10_systemverilog_interoperation.html#systemverilogとの相互運用","05_language_reference/11_visibility.html#可視性","05_language_reference/12_foreign_language_integration.html#他言語組み込み","05_language_reference/12_foreign_language_integration.html#embed-宣言","05_language_reference/12_foreign_language_integration.html#include-宣言","05_language_reference/13_integrated_test.html#組み込みテスト","05_language_reference/14_generics.html#ジェネリクス","05_language_reference/14_generics.html#ジェネリック関数","05_language_reference/14_generics.html#ジェネリックモジュールインターフェース","05_language_reference/14_generics.html#ジェネリックパッケージ","05_language_reference/14_generics.html#ジェネリック構造体","06_development_environment.html#開発環境","06_development_environment/01_project_configuration.html#プロジェクト設定","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#依存関係","06_development_environment/02_dependencies.html#依存関係の使用","06_development_environment/02_dependencies.html#バージョン要求","06_development_environment/03_publish_project.html#プロジェクトを公開する","06_development_environment/03_publish_project.html#バージョンを上げる","06_development_environment/03_publish_project.html#設定","06_development_environment/04_directory_layout.html#ディレクトリ構成","06_development_environment/04_directory_layout.html#単一のソースディレクトリ","06_development_environment/04_directory_layout.html#単一のソースとターゲットディレクトリ","06_development_environment/04_directory_layout.html#マルチソースディレクトリ","06_development_environment/04_directory_layout.html#gitignore-について","06_development_environment/05_formatter.html#フォーマッタ","06_development_environment/06_linter.html#リンタ","06_development_environment/06_linter.html#lintnaming-セクション","06_development_environment/07_simulator.html#シミュレータ","06_development_environment/07_simulator.html#test-セクション","06_development_environment/07_simulator.html#testverilator-セクション","06_development_environment/07_simulator.html#testvcs-セクション","06_development_environment/07_simulator.html#testvivado-セクション","06_development_environment/08_language_server.html#言語サーバ","06_development_environment/09_compatibility.html#互換性","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#文字列パラメータ","06_development_environment/10_documentation.html#ドキュメンテーション","06_development_environment/11_github_action.html#github-action","07_appendix.html#補遺","07_appendix/01_formal_syntax.html#構文","07_appendix/02_semantic_error.html#セマンティックエラー","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":3,"breadcrumbs":1,"title":1},"1":{"body":0,"breadcrumbs":0,"title":0},"10":{"body":20,"breadcrumbs":0,"title":0},"100":{"body":13,"breadcrumbs":4,"title":1},"101":{"body":14,"breadcrumbs":3,"title":0},"102":{"body":36,"breadcrumbs":3,"title":0},"103":{"body":10,"breadcrumbs":3,"title":0},"104":{"body":35,"breadcrumbs":7,"title":2},"105":{"body":31,"breadcrumbs":2,"title":0},"106":{"body":27,"breadcrumbs":2,"title":0},"107":{"body":7,"breadcrumbs":2,"title":0},"108":{"body":17,"breadcrumbs":4,"title":1},"109":{"body":11,"breadcrumbs":2,"title":0},"11":{"body":35,"breadcrumbs":0,"title":0},"110":{"body":0,"breadcrumbs":2,"title":0},"111":{"body":13,"breadcrumbs":3,"title":1},"112":{"body":4,"breadcrumbs":3,"title":1},"113":{"body":25,"breadcrumbs":2,"title":0},"114":{"body":1,"breadcrumbs":2,"title":0},"115":{"body":15,"breadcrumbs":2,"title":0},"116":{"body":17,"breadcrumbs":2,"title":0},"117":{"body":15,"breadcrumbs":2,"title":0},"118":{"body":27,"breadcrumbs":2,"title":0},"119":{"body":0,"breadcrumbs":0,"title":0},"12":{"body":20,"breadcrumbs":0,"title":0},"120":{"body":21,"breadcrumbs":2,"title":0},"121":{"body":4,"breadcrumbs":3,"title":1},"122":{"body":2,"breadcrumbs":3,"title":1},"123":{"body":4,"breadcrumbs":3,"title":1},"124":{"body":9,"breadcrumbs":3,"title":1},"125":{"body":1,"breadcrumbs":3,"title":1},"126":{"body":10,"breadcrumbs":3,"title":1},"127":{"body":5,"breadcrumbs":3,"title":1},"128":{"body":1,"breadcrumbs":3,"title":1},"129":{"body":3,"breadcrumbs":3,"title":1},"13":{"body":20,"breadcrumbs":1,"title":1},"130":{"body":5,"breadcrumbs":3,"title":1},"131":{"body":5,"breadcrumbs":3,"title":1},"132":{"body":14,"breadcrumbs":3,"title":1},"133":{"body":8,"breadcrumbs":3,"title":1},"134":{"body":6,"breadcrumbs":3,"title":1},"135":{"body":6,"breadcrumbs":3,"title":1},"136":{"body":1,"breadcrumbs":3,"title":1},"137":{"body":1,"breadcrumbs":3,"title":1},"138":{"body":1,"breadcrumbs":3,"title":1},"139":{"body":1,"breadcrumbs":3,"title":1},"14":{"body":20,"breadcrumbs":1,"title":1},"140":{"body":1,"breadcrumbs":3,"title":1},"141":{"body":25,"breadcrumbs":2,"title":0},"142":{"body":26,"breadcrumbs":2,"title":0},"143":{"body":20,"breadcrumbs":2,"title":0},"144":{"body":54,"breadcrumbs":2,"title":0},"145":{"body":39,"breadcrumbs":2,"title":0},"146":{"body":16,"breadcrumbs":2,"title":0},"147":{"body":1,"breadcrumbs":2,"title":0},"148":{"body":36,"breadcrumbs":2,"title":0},"149":{"body":25,"breadcrumbs":2,"title":0},"15":{"body":17,"breadcrumbs":1,"title":1},"150":{"body":24,"breadcrumbs":2,"title":0},"151":{"body":7,"breadcrumbs":3,"title":1},"152":{"body":9,"breadcrumbs":2,"title":0},"153":{"body":9,"breadcrumbs":2,"title":0},"154":{"body":171,"breadcrumbs":3,"title":1},"155":{"body":14,"breadcrumbs":2,"title":0},"156":{"body":5,"breadcrumbs":3,"title":1},"157":{"body":4,"breadcrumbs":3,"title":1},"158":{"body":4,"breadcrumbs":3,"title":1},"159":{"body":7,"breadcrumbs":3,"title":1},"16":{"body":28,"breadcrumbs":1,"title":1},"160":{"body":4,"breadcrumbs":2,"title":0},"161":{"body":2,"breadcrumbs":2,"title":0},"162":{"body":0,"breadcrumbs":3,"title":1},"163":{"body":10,"breadcrumbs":2,"title":0},"164":{"body":75,"breadcrumbs":2,"title":0},"165":{"body":87,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":0,"title":0},"167":{"body":2247,"breadcrumbs":1,"title":0},"168":{"body":0,"breadcrumbs":1,"title":0},"169":{"body":0,"breadcrumbs":2,"title":1},"17":{"body":28,"breadcrumbs":2,"title":2},"170":{"body":0,"breadcrumbs":2,"title":1},"171":{"body":0,"breadcrumbs":2,"title":1},"172":{"body":0,"breadcrumbs":2,"title":1},"173":{"body":0,"breadcrumbs":2,"title":1},"174":{"body":0,"breadcrumbs":2,"title":1},"175":{"body":0,"breadcrumbs":2,"title":1},"176":{"body":0,"breadcrumbs":2,"title":1},"177":{"body":0,"breadcrumbs":2,"title":1},"178":{"body":0,"breadcrumbs":2,"title":1},"179":{"body":0,"breadcrumbs":2,"title":1},"18":{"body":18,"breadcrumbs":1,"title":1},"180":{"body":0,"breadcrumbs":2,"title":1},"181":{"body":0,"breadcrumbs":2,"title":1},"182":{"body":0,"breadcrumbs":2,"title":1},"183":{"body":0,"breadcrumbs":2,"title":1},"184":{"body":0,"breadcrumbs":2,"title":1},"185":{"body":0,"breadcrumbs":2,"title":1},"186":{"body":0,"breadcrumbs":2,"title":1},"187":{"body":0,"breadcrumbs":2,"title":1},"188":{"body":0,"breadcrumbs":2,"title":1},"189":{"body":0,"breadcrumbs":2,"title":1},"19":{"body":22,"breadcrumbs":0,"title":0},"190":{"body":0,"breadcrumbs":2,"title":1},"191":{"body":0,"breadcrumbs":2,"title":1},"192":{"body":0,"breadcrumbs":2,"title":1},"193":{"body":0,"breadcrumbs":2,"title":1},"2":{"body":0,"breadcrumbs":0,"title":0},"20":{"body":7,"breadcrumbs":0,"title":0},"21":{"body":14,"breadcrumbs":0,"title":0},"22":{"body":2,"breadcrumbs":0,"title":0},"23":{"body":3,"breadcrumbs":2,"title":0},"24":{"body":3,"breadcrumbs":2,"title":0},"25":{"body":0,"breadcrumbs":2,"title":0},"26":{"body":0,"breadcrumbs":2,"title":0},"27":{"body":6,"breadcrumbs":3,"title":1},"28":{"body":5,"breadcrumbs":2,"title":0},"29":{"body":11,"breadcrumbs":5,"title":3},"3":{"body":0,"breadcrumbs":0,"title":0},"30":{"body":7,"breadcrumbs":4,"title":2},"31":{"body":2,"breadcrumbs":2,"title":0},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":25,"breadcrumbs":4,"title":0},"34":{"body":20,"breadcrumbs":4,"title":0},"35":{"body":44,"breadcrumbs":4,"title":0},"36":{"body":20,"breadcrumbs":0,"title":0},"37":{"body":75,"breadcrumbs":2,"title":0},"38":{"body":78,"breadcrumbs":2,"title":0},"39":{"body":56,"breadcrumbs":2,"title":0},"4":{"body":7,"breadcrumbs":0,"title":0},"40":{"body":24,"breadcrumbs":2,"title":0},"41":{"body":1,"breadcrumbs":0,"title":0},"42":{"body":12,"breadcrumbs":2,"title":0},"43":{"body":1,"breadcrumbs":2,"title":0},"44":{"body":3,"breadcrumbs":2,"title":0},"45":{"body":2,"breadcrumbs":2,"title":0},"46":{"body":0,"breadcrumbs":2,"title":0},"47":{"body":0,"breadcrumbs":2,"title":0},"48":{"body":7,"breadcrumbs":2,"title":0},"49":{"body":3,"breadcrumbs":2,"title":0},"5":{"body":3,"breadcrumbs":0,"title":0},"50":{"body":68,"breadcrumbs":4,"title":0},"51":{"body":0,"breadcrumbs":4,"title":0},"52":{"body":14,"breadcrumbs":4,"title":0},"53":{"body":10,"breadcrumbs":4,"title":0},"54":{"body":18,"breadcrumbs":4,"title":0},"55":{"body":18,"breadcrumbs":4,"title":0},"56":{"body":5,"breadcrumbs":4,"title":0},"57":{"body":27,"breadcrumbs":4,"title":0},"58":{"body":0,"breadcrumbs":2,"title":0},"59":{"body":0,"breadcrumbs":4,"title":0},"6":{"body":2,"breadcrumbs":0,"title":0},"60":{"body":18,"breadcrumbs":5,"title":1},"61":{"body":18,"breadcrumbs":5,"title":1},"62":{"body":18,"breadcrumbs":4,"title":0},"63":{"body":13,"breadcrumbs":4,"title":0},"64":{"body":5,"breadcrumbs":4,"title":0},"65":{"body":17,"breadcrumbs":5,"title":1},"66":{"body":0,"breadcrumbs":4,"title":0},"67":{"body":22,"breadcrumbs":4,"title":0},"68":{"body":17,"breadcrumbs":4,"title":0},"69":{"body":24,"breadcrumbs":4,"title":0},"7":{"body":15,"breadcrumbs":0,"title":0},"70":{"body":15,"breadcrumbs":4,"title":0},"71":{"body":99,"breadcrumbs":4,"title":0},"72":{"body":68,"breadcrumbs":4,"title":0},"73":{"body":0,"breadcrumbs":2,"title":0},"74":{"body":1,"breadcrumbs":3,"title":0},"75":{"body":22,"breadcrumbs":3,"title":0},"76":{"body":20,"breadcrumbs":3,"title":0},"77":{"body":14,"breadcrumbs":3,"title":0},"78":{"body":26,"breadcrumbs":5,"title":1},"79":{"body":16,"breadcrumbs":3,"title":0},"8":{"body":4,"breadcrumbs":0,"title":0},"80":{"body":9,"breadcrumbs":3,"title":0},"81":{"body":18,"breadcrumbs":7,"title":2},"82":{"body":29,"breadcrumbs":7,"title":2},"83":{"body":2,"breadcrumbs":2,"title":0},"84":{"body":34,"breadcrumbs":3,"title":0},"85":{"body":5,"breadcrumbs":3,"title":0},"86":{"body":16,"breadcrumbs":3,"title":0},"87":{"body":26,"breadcrumbs":5,"title":1},"88":{"body":10,"breadcrumbs":3,"title":0},"89":{"body":9,"breadcrumbs":5,"title":1},"9":{"body":57,"breadcrumbs":0,"title":0},"90":{"body":35,"breadcrumbs":3,"title":0},"91":{"body":0,"breadcrumbs":2,"title":0},"92":{"body":33,"breadcrumbs":3,"title":0},"93":{"body":11,"breadcrumbs":3,"title":0},"94":{"body":43,"breadcrumbs":3,"title":0},"95":{"body":11,"breadcrumbs":3,"title":0},"96":{"body":7,"breadcrumbs":5,"title":1},"97":{"body":24,"breadcrumbs":3,"title":0},"98":{"body":8,"breadcrumbs":7,"title":2},"99":{"body":0,"breadcrumbs":3,"title":0}},"docs":{"0":{"body":"Veryl Veryl は SystemVerilog をベースに設計されたハードウェア記述言語であり、以下のような特徴があります。","breadcrumbs":"概要 » ハードウェア記述言語 Veryl","id":"0","title":"ハードウェア記述言語 Veryl"},"1":{"body":"Verylは、SystemVerilogの経験者にとって親しみやすい基本構文に基づきながら、論理設計に最適化された構文を採用しています。この最適化には、たとえば合成可能性の保証やシミュレーション結果の一致の保証、頻出する定型文を簡素化する多数の構文などの提供が含まれます。このアプローチにより、学習の容易さ、設計プロセスの信頼性と効率の向上、およびコードの記述の容易さが実現されます。","breadcrumbs":"概要 » 最適化された構文","id":"1","title":"最適化された構文"},"10":{"body":"末尾カンマは、リストの最後の要素の後ろにカンマが置かれる構文です。これにより、要素の追加や削除が容易になり、バージョン管理システムにおける不必要な差異を減らすことができます。 SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"特徴 » 末尾カンマ","id":"10","title":"末尾カンマ"},"100":{"body":"SV アトリビュートは SystemVerilog のアトリビュートを表し、(* *) という形式の SystemVerilog アトリビュートに変換されます。 module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » アトリビュート » SV アトリビュート","id":"100","title":"SV アトリビュート"},"101":{"body":"宣言や for や if を使って生成することができます。: で示すラベルは生成された複数の宣言を識別するのに必要です。 module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // else 句のラベルは省略可能 assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » 生成 » 生成","id":"101","title":"生成"},"102":{"body":"inst キーワードはモジュールやインターフェースのインスタンス化を表します。インスタンスの名前は inst の後に、インスタンスの型は : の後に書きます。#() でパラメータオーバーライドを、() でポート接続を表します。 module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // 名前によるパラメータ代入 paramB: 10, ) ( a , // 名前によるポート接続 bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » インスタンス » インスタンス","id":"102","title":"インスタンス"},"103":{"body":"{} ブロックにラベルを付けることができます。そのような名前付きブロックは独立した名前空間を持ちます。 module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » 名前付きブロック » 名前付きブロック","id":"103","title":"名前付きブロック"},"104":{"body":"import 宣言は他のパッケージからシンボルをインポートします。モジュール、インターフェース、パッケージの要素としてだけでなくトップレベルにも配置することができます。import 宣言の引数には package::* のようなワイルドカードパターンを使用することができます。 // ファイルスコープインポート\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export 宣言は宣言したパッケージからシンボルをエクスポートします。全てのシンボルをエクスポートするには export * を使用します。 package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"モジュールはソースコードの最上位コンポーネントの1つです。モジュールはオーバーライド可能なパラメータ、接続ポート、内部ロジックを持ちます。 オーバーライド可能なパラメータは #() 内で宣言できます。それぞれのパラメータ宣言は param キーワードで始まり、識別子、:、パラメータの型、デフォルト値で構成されます。 接続ポートは () 内で宣言できます。それぞれのポート宣言は識別子、:、ポートの方向、ポートの型で構成されます。利用可能なポート方向は以下の通りです。 input:入力ポート output:出力ポート inout:双方向ポート modport:インターフェースのmodport module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » モジュール » モジュール","id":"105","title":"モジュール"},"106":{"body":"インターフェースはソースコードの最上位コンポーネントの1つです。インターフェースはオーバーライド可能なパラメータ、インターフェース定義を持ちます。 オーバーライド可能なパラメータについてはモジュールと同じです。 インターフェース定義では modport を宣言することができます。modport はモジュールのポート宣言で、ポートを束ねて接続するために使うことができます。 interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » インターフェース » インターフェース","id":"106","title":"インターフェース"},"107":{"body":"パッケージはソースコードの最上位コンポーネントの1つです。パッケージはパラメータや関数などいくつかの宣言をまとめることができます。 パッケージ内の要素にアクセスするには、:: 記号を使って PackageA::ParamA のようにします。 package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » パッケージ » パッケージ","id":"107","title":"パッケージ"},"108":{"body":"SystemVerilogの要素にアクセスする場合は $sv 名前空間を使えます。例えば、SystemVerilogソースコードの \"ModuleA\" は $sv::ModuleA です。Veryl はこれらの要素が実際に存在するかどうかは確認しません。 module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilogとの相互運用 » SystemVerilogとの相互運用","id":"108","title":"SystemVerilogとの相互運用"},"109":{"body":"デフォルトではプロジェクトのトップレベルアイテム(モジュール、インターフェース、パッケージ)はプライベートです。プライベートとは他のプロジェクトから参照できないことを意味します。 pub キーワードによって他のプロジェクトから見えるように指定することができます。veryl doc コマンドはパブリックなアイテムの ドキュメント のみを生成します。 pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » 可視性 » 可視性","id":"109","title":"可視性"},"11":{"body":"クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。 さらに、明示的な clock と reset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。モジュール内にクロックとリセットが1つだけの場合、レジスタへの接続を省略することもできます。 SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff { if_reset { } else { } }\n}","breadcrumbs":"特徴 » クロックとリセットの抽象化","id":"11","title":"クロックとリセットの抽象化"},"110":{"body":"","breadcrumbs":"Language Reference » 他言語組み込み » 他言語組み込み","id":"110","title":"他言語組み込み"},"111":{"body":"embed 宣言により他言語をコードに埋め込むことができます。embed 宣言の第一引数は埋め込み方法です。以下の方法がサポートされています。 inline: コードをそのまま展開する コードブロックは lang{{{ で始まり、}}} で終わります。以下の lang 指示子がサポートされています。 sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » 他言語組み込み » embed 宣言","id":"111","title":"embed 宣言"},"112":{"body":"include 宣言により他言語のファイルを含めることができます。include 宣言の第一引数は embed 宣言と同じです。第二引数はソースコードからの相対ファイルパスです。 include(inline, \"module.sv\");","breadcrumbs":"Language Reference » 他言語組み込み » include 宣言","id":"112","title":"include 宣言"},"113":{"body":"組み込みテストは #[test(test_name)] アトリビュートでマークすることができます。マークされたブロックはテストとして認識され、 veryl test コマンドによって実行されます。ブロックのトップレベルモジュールはテスト名と同じでなければなりません。 $info、$warning、$error、$fatal システム関数によるメッセージは Veryl コンパイラにより実行ログとして表示されます。$error と $fatal の呼び出しはテストの失敗として扱われます。 以下の例では SystemVerilog のソースコードを embed 宣言で埋め込み、テストとしてマークしています。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} veryl test で使用される RTLシミュレータについては シミュレータ を参照してください。","breadcrumbs":"Language Reference » 組み込みテスト » 組み込みテスト","id":"113","title":"組み込みテスト"},"114":{"body":"ジェネリクスはパラメータオーバーライドでは実現できないアイテムのパラメータ化を可能にします。以下のアイテムがジェネリクスをサポートしています。 関数 モジュール インターフェース パッケージ 構造体 ユニオン それぞれのジェネリック定義はジェネリックパラメータ(T のような大文字1文字がよく使われます)を持ち、定義内で識別子や式として配置できます。ジェネリックパラメータはアイテムの識別子の後に ::<> を用いて宣言します。 ジェネリクスを使用するためには ::<> を用いて実パラメータを与えます。実パラメータとしては数値リテラルと :: で連結された識別子を使用することができます。 さらに、実パラメータはジェネリクス定義位置から参照できなければなりません。例えば、モジュール名はプロジェクト全体から参照できるので、実パラメータとして使用できます。一方、ローカルパラメータは多くの場合、実パラメータとして使用できません。これはローカルパラメータがジェネリクス定義位置からは参照できない場合に発生します。","breadcrumbs":"Language Reference » ジェネリクス » ジェネリクス","id":"114","title":"ジェネリクス"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック関数","id":"115","title":"ジェネリック関数"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックモジュール/インターフェース","id":"116","title":"ジェネリックモジュール/インターフェース"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックパッケージ","id":"117","title":"ジェネリックパッケージ"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // ローカルに定位された型が使用できています // これは `TypeA` が `StructA` の定義位置から参照できるためです var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック構造体","id":"118","title":"ジェネリック構造体"},"119":{"body":"この章ではプロジェクト設定や開発ツールなど開発環境について説明します。","breadcrumbs":"開発環境 » 開発環境","id":"119","title":"開発環境"},"12":{"body":"ドキュメンテーションコメントとしてモジュールの説明を書いておくとドキュメントを自動生成することができます。単なるテキストだけでなく、マークダウン形式や WaveDrom による波形記述を使用することができます。 SystemVerilog\nVeryl // コメント\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"特徴 » ドキュメンテーションコメント","id":"12","title":"ドキュメンテーションコメント"},"120":{"body":"[project] --- プロジェクト定義 name --- プロジェクトの名前 version --- プロジェクトのバージョン authors --- プロジェクトの作者 description --- プロジェクトの説明 license --- プロジェクトのライセンス repository --- プロジェクトのリポジトリの URL [build] --- ビルド設定 clock_type --- クロックタイプ reset_type --- リセットタイプ filelist_type --- ファイルリストのタイプ target --- 出力方法 implicit_parameter_types --- 暗黙のパラメータ型を有効にするかどうか omit_project_prefix --- プロジェクト名のプレフィックスを省略するかどうか strip_comments --- コメントを削除するかどうか [format] --- フォーマット設定 [lint] --- リント設定 [test] --- テスト設定 [publish] --- 公開設定 [dependencies] --- ライブラリの依存関係","breadcrumbs":"Development Environment » プロジェクト設定 » プロジェクト設定","id":"120","title":"プロジェクト設定"},"121":{"body":"Veryl.toml の最初のセクションは [project] です。name と version は必須です。","breadcrumbs":"Development Environment » プロジェクト設定 » [project] セクション","id":"121","title":"[project] セクション"},"122":{"body":"プロジェクト名は生成されるコードのプレフィックスに使われます。そのためプロジェクト名はアルファベットか _ で始まり、英数字と_ しか使ってはいけません。","breadcrumbs":"Development Environment » プロジェクト設定 » name フィールド","id":"122","title":"name フィールド"},"123":{"body":"プロジェクトのバージョンは セマンティックバージョニング に従います。バージョンは以下の3つの数字からなります。 メジャー -- 互換性のない変更時に上げる マイナー -- 互換性のある機能追加時に上げる バッチ -- 互換性のあるバグ修正時に上げる [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » version フィールド","id":"123","title":"version フィールド"},"124":{"body":"オプションの authors フィールドにはこのプロジェクトの作者である人や組織を配列にリストアップします。配列内の各文字列のフォーマットは自由です。名前のみ、Eメールアドレスのみ、名前と括弧で囲んだEメールアドレスといった形式がよく使われます。 [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » プロジェクト設定 » authors フィールド","id":"124","title":"authors フィールド"},"125":{"body":"description はプロジェクトの短い説明です。マークダウンではなくプレーンテキスト形式で書きます。","breadcrumbs":"Development Environment » プロジェクト設定 » description フィールド","id":"125","title":"description フィールド"},"126":{"body":"license フィールドはこのプロジェクトがどのライセンスで公開されているかを指定します。指定する文字列は SPDX 2.3 license expression に従ってください。 [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » license フィールド","id":"126","title":"license フィールド"},"127":{"body":"repository フィールドはプロジェクトのソースリポジトリへのURLです。 [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » プロジェクト設定 » repository フィールド","id":"127","title":"repository フィールド"},"128":{"body":"[build] セクションはコード生成の設定です。","breadcrumbs":"Development Environment » プロジェクト設定 » [build] セクション","id":"128","title":"[build] セクション"},"129":{"body":"clock_type フィールドはフリップフロップを駆動するクロックエッジを指定します。 posedge -- 立ち上がりエッジ negedge -- 立ち下がりエッジ","breadcrumbs":"Development Environment » プロジェクト設定 » clock_type フィールド","id":"129","title":"clock_type フィールド"},"13":{"body":"ノンブロッキング専用の代入演算子はなく、always_ff 内ではノンブロッキング代入が、 always_comb 内ではブロッキング代入が推論されます。そのため always_ff 内でも always_comb 内と同様に様々な複合代入演算子を使用することができます。 SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"特徴 » always_ff での複合代入演算子","id":"13","title":"always_ff での複合代入演算子"},"130":{"body":"reset_type フィールドはリセットの極性と同期性を指定します。 async_low -- 非同期・負極性 async_high -- 非同期・正極性 sync_low -- 同期・負極性 sync_high -- 同期・正極性","breadcrumbs":"Development Environment » プロジェクト設定 » reset_type フィールド","id":"130","title":"reset_type フィールド"},"131":{"body":"filelist_type フィールドはファイルリストのフォーマットを指定します。 absolute -- プレーンテキスト形式の絶対パスのリスト relative -- プレーンテキスト形式の相対パスのリスト flgen -- flgen 形式のファイルリスト","breadcrumbs":"Development Environment » プロジェクト設定 » filelist_type フィールド","id":"131","title":"filelist_type フィールド"},"132":{"body":"target フィールドはコードの生成先を指定します。 source -- ソースコードと同じディレクトリ directory -- 特定のディレクトリ bundle -- 特定のファイル directory あるいは bundle を指定する場合は、ターゲットパスを path キーで指定します。 [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » プロジェクト設定 » target フィールド","id":"132","title":"target フィールド"},"133":{"body":"implicit_parameter_types フィールドは生成コードの parameter 宣言で省略する型をリストアップします。いくつかのEDAツールでは特定の型(例えば string)を parameter 宣言で使うことができないためです。例えば string を指定する場合は以下のようにします。 [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » プロジェクト設定 » implicit_parameter_types フィールド","id":"133","title":"implicit_parameter_types フィールド"},"134":{"body":"omit_project_prefix が true のとき、モジュール・インターフェース・パッケージ名のプロジェクトプレフィックスは省略されます。この値はデフォルトで false です。 [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » プロジェクト設定 » omit_project_prefix フィールド","id":"134","title":"omit_project_prefix フィールド"},"135":{"body":"strip_comments が true のとき、コメント出力は省略されます。この値はデフォルトで false です。 [build]\nstrip_comments = true","breadcrumbs":"Development Environment » プロジェクト設定 » strip_comments フィールド","id":"135","title":"strip_comments フィールド"},"136":{"body":"[format] セクションはコードフォーマッターの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [format] セクション","id":"136","title":"[format] セクション"},"137":{"body":"[lint] セクションはリンタの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [lint] セクション","id":"137","title":"[lint] セクション"},"138":{"body":"[test] セクションはRTLシミュレータによるテストの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [test] セクション","id":"138","title":"[test] セクション"},"139":{"body":"[publish] セクションはプロジェクト公開の設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [publish] セクション","id":"139","title":"[publish] セクション"},"14":{"body":"enumのバリアントはenum毎に独立した名前空間を持っており意図しない名前の衝突を防ぐことができます。 SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"特徴 » 独立した名前空間を持つenumバリアント","id":"14","title":"独立した名前空間を持つenumバリアント"},"140":{"body":"[dependencies] セクションはライブラリの依存関係です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [dependencies] セクション","id":"140","title":"[dependencies] セクション"},"141":{"body":"他の Veryl プロジェクトへの依存関係をプロジェクトに追加したい場合、Veryl.toml に [dependencies] セクションを追加します。エントリの左辺は依存関係へのパス、右辺はバージョンです。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" デフォルトでは依存関係の名前空間はそのプロジェクト名と同じです。もし名前空間を指定したい場合は、 name フィールドを使います。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} 同じ依存関係の複数のバージョンを使う場合は、以下のようにそれぞれの名前を指定できます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » 依存関係 » 依存関係","id":"141","title":"依存関係"},"142":{"body":"Veryl.toml に依存関係を追加したあとは、その依存関係の module、interface、packageを使うことができます。以下は veryl_sample の依存関係に含まれる delay モジュールを使った例です。 module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} 注:上記のコードのプレイボタンの結果は依存関係解決を行わないので正確ではありません。実際のモジュール名は veryl_samlle_delay になります。","breadcrumbs":"Development Environment » 依存関係 » 依存関係の使用","id":"142","title":"依存関係の使用"},"143":{"body":"[dependencies] セクションの version フィールドはバージョン要求を示します。例えば、version = \"0.1.0\" は 0.1.0 と互換性のある最新バージョンを意味します。互換性は セマンティックバージョニング で判定されます。バージョンは以下の3つの部分からなります。 メジャー バージョンはAPI非互換な変更 マイナー バージョンは互換性のある機能追加 パッチ バージョンは互換性のあるバグ修正 もし メジャー バージョンが 0 なら、マイナー が非互換変更と解釈されます。 バージョン 0.1.0、0.1.1、0.2.0があった場合、0.1.1 が選択されます。これは以下のように決定されます。 0.1.0 は 0.1.0 と互換性がある 0.1.1 は 0.1.0 と互換性がある 0.2.0 は 0.1.0 と互換性がない 0.1.1 は互換性のある最新バージョン version フィールドは =0.1.0 のような指定も可能です。詳細は Rust のバージョン要求についてのドキュメントを参照してください。 Specifying Dependencies .","breadcrumbs":"Development Environment » 依存関係 » バージョン要求","id":"143","title":"バージョン要求"},"144":{"body":"プロジェクトを公開するには veryl publish コマンドを使います。公開とはバージョン番号とgitのリビジョンを紐づけることです。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish は以下のように公開されたバージョンの情報を含んだ Veryl.pub というファイルを生成します。 [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" Veryl.pub と生成した後、gitのadd、commit、pushを行えば公開手続きは完了です。gitブランチはデフォルトブランチでなければなりません。これは Veryl が Veryl.pub をデフォルトブランチから探すためです。 $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push Veryl.toml の [publish] セクションに publish_commit を設定して自動コミットを有効にすれば、gitのaddとcommitが自動で実行されます。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » プロジェクトを公開する","id":"144","title":"プロジェクトを公開する"},"145":{"body":"--bump オプションを使うと公開と同時にバージョンを上げることもできます。公開と同様に、Veryl.toml の[publish] セクションに bump_commit を設定すれば自動でcommitされます。 $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » バージョンを上げる","id":"145","title":"バージョンを上げる"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" 設定 設定値 デフォルト 説明 bump_commit ブーリアン false バージョンアップ後の自動コミット publish_commit ブーリアン false 公開後の自動コミット bump_commit_mesasge 文字列 \"chore: Bump version\" バージョンアップ後のコミットメッセージ publish_commit_mesasge 文字列 \"chore: Publish\" 公開後のコミットメッセージ","breadcrumbs":"Development Environment » プロジェクトを公開する » 設定","id":"146","title":"設定"},"147":{"body":"Veryl は任意のディレクトリ構成をサポートしています。これは独立したプロジェクトと他のプロジェクトに組み込まれたプロジェクトでは最適なディレクトリ構成が異なるためです。 この節ではいくつかのディレクトリ構成パターンを示します。","breadcrumbs":"Development Environment » ディレクトリ構成 » ディレクトリ構成","id":"147","title":"ディレクトリ構成"},"148":{"body":"このパターンでは全てのソースコードは src ディレクトリに配置されます。src 以下のサブディレクトリの構成は自由です。 $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl は全ての *.veryl ファイルを収集し、デフォルトではソースと同じディレクトリにコードを生成します。この挙動は以下の設定で明示することもできます。 [build]\ntarget = \"source\" veryl build を実行するとディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースディレクトリ","id":"148","title":"単一のソースディレクトリ"},"149":{"body":"生成されたコードを1つのディレクトリに入れたい場合、Veryl.toml の [build] セクションで target を以下のように設定します。 [build]\ntarget = {type = \"directory\", path = \"target\"} ディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースとターゲットディレクトリ","id":"149","title":"単一のソースとターゲットディレクトリ"},"15":{"body":"ビット連結における繰り返し記述として明示的な repeat 記法を採用し、 複雑な {} の組み合わせより可読性が向上しています。 SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"特徴 » ビット連結における repeat","id":"15","title":"ビット連結における repeat"},"150":{"body":"既存の SystemVerilog プロジェクトに Veryl のプロジェクトを組み込む場合、以下のような構成にすることもできます。 $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files 生成された prj.f は生成されたソースコードを全てリストアップしているので、既存の SystemVerilog ファイルリストと一緒に使うことができます。","breadcrumbs":"Development Environment » ディレクトリ構成 » マルチソースディレクトリ","id":"150","title":"マルチソースディレクトリ"},"151":{"body":"Veryl はデフォルトの .gitignore を提供しません。これはプロジェクト毎にどのファイルを無視する必要があるかが変わるためです。 .gitignore の候補としては以下が考えられます。 dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » ディレクトリ構成 » .gitignore について","id":"151","title":".gitignore について"},"152":{"body":"veryl fmt コマンドでソースコードをフォーマットできます。あるいは言語サーバの textDocument/formatting 要求によるフォーマットにも対応しています。 設定可能な項目は以下の通りです。これは Veryl.toml の [format] セクションで指定できます。 [format]\nindent_width = 4 設定 設定値 説明 indent_width 整数 インデントのスペース幅","breadcrumbs":"Development Environment » フォーマッタ » フォーマッタ","id":"152","title":"フォーマッタ"},"153":{"body":"veryl check あるいは veryl build でリントチェックができます。あるいは言語サーバはリアルタイムでのチェックを行います。 設定可能な項目は以下の通りです。これは Veryl.toml の [lint] セクションで指定できます。 [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » リンタ » リンタ","id":"153","title":"リンタ"},"154":{"body":"このセクションは命名規則の設定です。 設定 設定値 説明 case_enum ケースタイプ [1] enum のケーススタイル case_function ケースタイプ [1] function のケーススタイル case_instance ケースタイプ [1] インスタンスのケーススタイル case_interface ケースタイプ [1] interface のケーススタイル case_modport ケースタイプ [1] modport のケーススタイル case_module ケースタイプ [1] module のケーススタイル case_package ケースタイプ [1] package のケーススタイル case_parameter ケースタイプ [1] parameter のケーススタイル case_port_inout ケースタイプ [1] inout ポートのケーススタイル case_port_input ケースタイプ [1] input ポートのケーススタイル case_port_modport ケースタイプ [1] modport ポートのケーススタイル case_port_output ケースタイプ [1] output ポートのケーススタイル case_reg ケースタイプ [1] レジスタ変数 [2] のケーススタイル case_struct ケースタイプ [1] struct のケーススタイル case_wire ケースタイプ [1] ワイヤ変数 [3] のケーススタイル prefix_enum 文字列 enum のプレフィックス prefix_function 文字列 function のプレフィックス prefix_instance 文字列 インスタンスのプレフィックス prefix_interface 文字列 interface のプレフィックス prefix_modport 文字列 modport のプレフィックス prefix_module 文字列 module のプレフィックス prefix_package 文字列 package のプレフィックス prefix_parameter 文字列 parameter のプレフィックス prefix_port_inout 文字列 inout ポートのプレフィックス prefix_port_input 文字列 input ポートのプレフィックス prefix_port_modport 文字列 modport ポートのプレフィックス prefix_port_output 文字列 output ポートのプレフィックス prefix_reg 文字列 レジスタ変数 [2] のプレフィックス prefix_struct 文字列 struct のプレフィックス prefix_wire 文字列 ワイヤ変数 [3] のプレフィックス re_forbidden_enum 正規表現 [4] enum の禁止正規表現 re_forbidden_function 正規表現 [4] function の禁止正規表現 re_forbidden_instance 正規表現 [4] インスタンスの禁止正規表現 re_forbidden_interface 正規表現 [4] interface の禁止正規表現 re_forbidden_modport 正規表現 [4] modport の禁止正規表現 re_forbidden_module 正規表現 [4] module の禁止正規表現 re_forbidden_package 正規表現 [4] package の禁止正規表現 re_forbidden_parameter 正規表現 [4] parameter の禁止正規表現 re_forbidden_port_inout 正規表現 [4] inout ポートの禁止正規表現 re_forbidden_port_input 正規表現 [4] input ポートの禁止正規表現 re_forbidden_port_modport 正規表現 [4] modport ポートの禁止正規表現 re_forbidden_port_output 正規表現 [4] output ポートの禁止正規表現 re_forbidden_reg 正規表現 [4] レジスタ変数 [2] の禁止正規表現 re_forbidden_struct 正規表現 [4] struct の禁止正規表現 re_forbidden_wire 正規表現 [4] ワイヤ変数 [3] の禁止正規表現 re_required_enum 正規表現 [4] enum の必須正規表現 re_required_function 正規表現 [4] function の必須正規表現 re_required_instance 正規表現 [4] インスタンスの必須正規表現 re_required_interface 正規表現 [4] interface の必須正規表現 re_required_modport 正規表現 [4] modport の必須正規表現 re_required_module 正規表現 [4] module の必須正規表現 re_required_package 正規表現 [4] package の必須正規表現 re_required_parameter 正規表現 [4] parameter の必須正規表現 re_required_port_inout 正規表現 [4] inout ポートの必須正規表現 re_required_port_input 正規表現 [4] input ポートの必須正規表現 re_required_port_modport 正規表現 [4] modport ポートの必須正規表現 re_required_port_output 正規表現 [4] output ポートの必須正規表現 re_required_reg 正規表現 [4] レジスタ変数 [2] の必須正規表現 re_required_struct 正規表現 [4] struct の必須正規表現 re_required_wire 正規表現 [4] ワイヤ変数 [3] の必須正規表現 設定可能な値は以下です。 \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase \".*\"のような正規表現です。使用可能な構文は こちら . レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 ワイヤ変数とは always_comb で代入される変数です。合成フェーズでワイヤにマップされます。","breadcrumbs":"Development Environment » リンタ » [lint.naming] セクション","id":"154","title":"[lint.naming] セクション"},"155":{"body":"RTLシミュレータによるテストは veryl test で実行することができます。サポートされているシミュレータは以下の通りです。 Verilator Synopsys VCS AMD Vivado Simulator Verilatorはデフォルトのシミュレータです。Veryl.tomlやコマンドラインオプションでシミュレータが指定されていない場合に使用されます。 設定可能な項目は以下の通りです。これは Veryl.toml の [test] セクションで指定できます。 [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » シミュレータ » シミュレータ","id":"155","title":"シミュレータ"},"156":{"body":"このセクションはテストの設定です。 設定 設定値 説明 simulator シミュレータ名 [1] デフォルトのシミュレータ 設定可能な値は以下です。 \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » シミュレータ » [test] セクション","id":"156","title":"[test] セクション"},"157":{"body":"このセクションはVerilatorによるテストの設定です。 設定 設定値 説明 compile_args [文字列] verilator コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.verilator] セクション","id":"157","title":"[test.verilator] セクション"},"158":{"body":"このセクションはVCSによるテストの設定です。 設定 設定値 説明 compile_args [文字列] vcs コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vcs] セクション","id":"158","title":"[test.vcs] セクション"},"159":{"body":"このセクションはVivadoによるテストの設定です。 設定 設定値 説明 compile_args [文字列] xvlog コマンドへの追加の引数 elaborate_args [文字列] xelab コマンドへの追加の引数 simulate_args [文字列] xsim コマンドへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vivado] セクション","id":"159","title":"[test.vivado] セクション"},"16":{"body":"三項演算子の代わりに if 式と case 式を採用することで、比較するアイテム数が多い場合の可読性が向上します。 SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"特徴 » if / case 式","id":"16","title":"if / case 式"},"160":{"body":"veryl-ls は言語サーバのバイナリです。使用するにはエディタの設定やプラグインが必要です。 設定可能な項目は以下の通りです。これは各エディタの設定から指定できます。 設定 設定値 デフォルト 説明 useOperatorCompletion ブーリアン false 演算子(例 '>:', '>>')の補完を有効にする","breadcrumbs":"Development Environment » 言語サーバ » 言語サーバ","id":"160","title":"言語サーバ"},"161":{"body":"いくつかのツールはサポートしていない SystemVerilog 構文があります。これをサポートするために、 Veryl.toml の設定でコード生成をカスタマイズすることができます。","breadcrumbs":"Development Environment » 互換性 » 互換性","id":"161","title":"互換性"},"162":{"body":"","breadcrumbs":"Development Environment » 互換性 » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivadoは string 型の parameter をサポートしていません。 parameter string a = \"A\"; その場合は implicit_parameter_types を設定してください。 [build]\nimplicit_parameter_types = [\"string\"] 設定すると生成コードは以下のようになります。 parameter a = \"A\";","breadcrumbs":"Development Environment » 互換性 » 文字列パラメータ","id":"163","title":"文字列パラメータ"},"164":{"body":"プロジェクトのドキュメントは veryl doc コマンドで生成することができます。全てのパブリックなモジュールとインターフェース、パッケージがリストアップされます。(参照 可視性 ) 詳細な説明を書きたい場合はドキュメンテーションコメントを追加することもできます。ドキュメンテーションコメントでは マークダウン 記法を使えます。 WaveDrom による波形記述もサポートされています。wavedrom コードブロック内で、WaveDromの構文を記述することができます。構文の詳細は チュートリアル を参照してください。 /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} 設定可能な項目は以下の通りです。これは Veryl.toml の [doc] セクションで指定できます。 [doc]\npath = \"document\" 設定 設定値 デフォルト 説明 path 文字列 \"doc\" 出力ディレクトリへのパス","breadcrumbs":"Development Environment » ドキュメンテーション » ドキュメンテーション","id":"164","title":"ドキュメンテーション"},"165":{"body":"ビルド済みのVerylバイナリをダウンロードするための公式GitHub actionが提供されています。 https://github.com/marketplace/actions/setup-veryl GitHub actionスクリプトの例は以下の通りです。 フォーマットとビルドチェック name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check GitHub Pagesからドキュメントを公開する name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Verilator によるテスト このために GitHub action veryl-lang/setup-verilator を公開しています。 name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"補遺 » 補遺","id":"166","title":"補遺"},"167":{"body":"Veryl のパーサはパーサジェネレータ parol を使っています。以下の parol の構文定義が正式な構文です。 %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » 構文 » 構文","id":"167","title":"構文"},"168":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » セマンティックエラー","id":"168","title":"セマンティックエラー"},"169":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"閉区間 ..= と半開区間 .. を表す記法を導入し、 for 、inside で範囲を統一的に記述できるようにしました。また、inside の逆を意味する outside も導入しました。 SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"特徴 » 範囲 for / inside / outside","id":"17","title":"範囲 for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"最上位ビットを示す msb 記法により、パラメータから最上位ビットを計算する必要がなくなり、より意図を明確にすることができます。 SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"特徴 » msb 記法","id":"18","title":"msb 記法"},"180":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"変数宣言と同時に値を束縛する専用の let 文が用意されており、SystemVerilogではサポートされていなかった様々な場所で使用することができます。 SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"特徴 » let 文","id":"19","title":"let 文"},"190":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"VerylはSystemVerilogとの相互運用性を考慮して設計されており、既存のSystemVerilogコンポーネントやプロジェクトとの組み合わせや部分的な置き換えをスムーズに行うことができます。さらに、VerylからトランスパイルされたSystemVerilogソースコードは、その高い可読性により、シームレスな統合やデバッグを可能にします。","breadcrumbs":"概要 » 相互運用性","id":"2","title":"相互運用性"},"20":{"body":"変数のスコープを限定するための名前付きブロックを定義することができます。 SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"特徴 » 名前付きブロック","id":"20","title":"名前付きブロック"},"21":{"body":"pub キーワードの付かないモジュールはプロジェクト外から参照できず、ドキュメントの自動生成にも含まれません。これによりプロジェクト外に公開したいものと内部実装とを区別することができます。 SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} いくつかのブラウザはデフォルトでGIF動画の再生を停止しています。ブラウザの設定を確認してください。","breadcrumbs":"特徴 » 可視性制御","id":"21","title":"可視性制御"},"22":{"body":"Veryl を使ってみましょう。この章では Veryl のインストール、サンプルプロジェクトの作成とビルドまでを行います。","breadcrumbs":"はじめに » はじめに","id":"22","title":"はじめに"},"23":{"body":"Veryl バイナリをダウンロードしてインストールできます。もし Rust ユーザであれば cargo コマンドでインストールすることもできます。","breadcrumbs":"Getting Started » インストール » インストール","id":"23","title":"インストール"},"24":{"body":"Veryl は git コマンドを内部で使用します。 git が起動できることを確認しておいてください。","breadcrumbs":"Getting Started » インストール » 要件","id":"24","title":"要件"},"25":{"body":"","breadcrumbs":"Getting Started » インストール » インストール方法の選択","id":"25","title":"インストール方法の選択"},"26":{"body":"リリースページ からダウンロードして、パスの通ったところに展開してください。","breadcrumbs":"Getting Started » インストール » バイナリのダウンロード","id":"26","title":"バイナリのダウンロード"},"27":{"body":"cargo コマンドからインストールすることもできます。 cargo install veryl veryl-ls","breadcrumbs":"Getting Started » インストール » Cargo","id":"27","title":"Cargo"},"28":{"body":"公式には Visual Studio Code と Vim / Neovim がサポートされています。","breadcrumbs":"Getting Started » インストール » エディタ設定","id":"28","title":"エディタ設定"},"29":{"body":"Visual Studio Code 向けに Veryl 拡張が提供されています。拡張はファイルタイプの検出とシンタックスハイライト、言語サーバの組み込みを提供します。拡張パネルから \"Veryl\" で検索するか、以下の URL からインストールしてください。 Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » インストール » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Verylはパッケージマネージャ、ビルドツール、そしてVSCode、Vim、Emacsなどの主要なエディタに対応するリアルタイムチェッカー、自動補完機能、自動フォーマッタなど、豊富な開発支援ツールを備えています。これらのツールは、開発プロセスを加速し、生産性を大幅に向上させることができます。 これらの特性により、Verylは設計者が高品質なハードウェア設計をより効率的かつ生産的に行うための強力なサポートを提供します。","breadcrumbs":"概要 » 生産性","id":"3","title":"生産性"},"30":{"body":"Vim / Neovim 向けに Veryl プラグインが提供されています。プラグインはファイルタイプの検出とシンタックスハイライトを提供します。プラグインのインストールと言語サーバの組み込みは以下の URL を参照してください。 Vim / Neovim plugin","breadcrumbs":"Getting Started » インストール » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl は言語サーバを提供しているので、言語サーバをサポートしているエディタ(例えば Emacs)であれば利用できます。","breadcrumbs":"Getting Started » インストール » そのほかのエディタ","id":"31","title":"そのほかのエディタ"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"まず始めに、新しい Veryl プロジェクトを作りましょう。 veryl new hello コマンドを実行すると、以下のようなディレクトリとファイルが作成されます。 $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml はプロジェクトの設定ファイルです。 [project]\nname = \"hello\"\nversion = \"0.1.0\" 全設定の説明は こちら 。","breadcrumbs":"Getting Started » Hello, World! » プロジェクトを作る","id":"33","title":"プロジェクトを作る"},"34":{"body":"ソースコードはプロジェクトディレクトリ内のどこに書いても構いません。これは Veryl プロジェクトが独立したプロジェクトである場合もあれば、他のSystemVerilog プロジェクトに組み込まれている場合もあるからです。Veryl のソースコードの拡張子は .veryl です。 例えば以下のコードを src/hello.veryl に書いてみましょう。 module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files 注:この本のいくつかのソースコードには、マウスをホバーすると現れるプレイボタン \"▶\" があります。ボタンをクリックすると、トランスパイルされた SystemVerilog のコードが現れます。module ModuleA のコードのボタンを押してみましょう。","breadcrumbs":"Getting Started » Hello, World! » コードを書く","id":"34","title":"コードを書く"},"35":{"body":"veryl build コマンドで SystemVerilog のソースコードを生成できます。 $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files デフォルトでは SystemVerilog のコードは Veryl のコードと同じディレクトリに生成されます。つまり src/hello.sv です。 module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule さらに、生成されたコードのファイルリスト hello.f も生成されます。これは SystemVerilog コンパイラで使用できます。 Verilator で使用するには以下のようにします。 $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » ビルドする","id":"35","title":"ビルドする"},"36":{"body":"Veryl は SystemVerilog とほとんど同じセマンティクスを持っています。もし SystemVerilog に慣れていれば、いくつかの例をみるだけで Veryl の構文をだいたい把握できるでしょう。 この小さな例では、コメントに SystemVerilog 構文との違いが書かれています。 module ModuleA ( // 識別子が先で `:` の後に型が来ます // ビット幅は `<>` で表されます i_data: input logic<10>, o_data: output logic<10>, // `begin`/`end` ではなく `{}` を使います\n) { assign o_data = i_data;\n} さらに、この章のコードブロックは編集することもできます。それぞれのコードを編集して実行してみましょう。 Veryl のソースコードは SystemVerilog と同様に、module、interface、package を持ちます。この章ではそれらの例を示します。","breadcrumbs":"コード例 » コード例","id":"36","title":"コード例"},"37":{"body":"// モジュール定義\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // 末尾カンマが可能です\n) ( i_clk : input clock , // `clock` はクロックのための特別な型です i_rst : input reset , // `reset` はリセットのための特別な型です i_sel : input logic , i_data: input logic [2], // `[]` は SystemVerilog のアンパック配列です o_data: output logic , // `<>` は SystemVerilog のパック配列です\n) { // ローカルパラメータ宣言 // モジュール内では `param` は使えません local ParamC: u32 = 10; // 変数宣言 var r_data0: logic; var r_data1: logic; var r_data2: logic; // 値の束縛 let _w_data2: logic = i_data; // リセット付き always_ff 文 // `always_ff` はクロック(必須)とリセット(オプション)を持ちます // `if_reset` は `if (i_rst)` を意味し、リセット極性を隠蔽するための構文です // `if` 文に `()` はいりません // `always_ff` 内の `=` はノンブロッキング代入です always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // リセットなし always_ff 文 always_ff (i_clk) { r_data1 = r_data0; } // モジュール内にクロックとリセットが1つしかない場合 // クロックとリセットの指定は省略できます always_ff { r_data2 = r_data1; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » モジュール » モジュール","id":"37","title":"モジュール"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // インスタンス宣言 // インスタンス宣言は `inst` キーワードではじまります // ポート接続は `()` 内で指定します // 各ポートの接続は `[port_name]:[variable]` のような形式になります // `[port_name]` は `[port_name]:[port_name]` を意味します inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // パラメータオーバーライド付きインスタンス宣言 // パラメータの接続記法はポートと同様です inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » インスタンス » インスタンス","id":"38","title":"インスタンス"},"39":{"body":"// インターフェース定義\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport 定義 modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // modport によるポート宣言 intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // インターフェースのインスタンス inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » インターフェース » インターフェース","id":"39","title":"インターフェース"},"4":{"body":"この章ではVerylの特徴的な機能をわかりやすい例とともに紹介します。 リアルタイム診断 自動フォーマット 組み込みテスト 依存関係管理 ジェネリクス 末尾カンマ クロックとリセットの抽象化 ドキュメンテーションコメント always_ff での複合代入演算子 独立した名前空間を持つenumバリアント ビット連結における repeat if / case 式 範囲 for / inside / outside msb 記法 let 文 名前付きブロック 可視性制御","breadcrumbs":"特徴 » 特徴","id":"4","title":"特徴"},"40":{"body":"// パッケージ定義\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » パッケージ » パッケージ","id":"40","title":"パッケージ"},"41":{"body":"この章では Veryl の言語仕様について説明します。","breadcrumbs":"言語リファレンス » 言語リファレンス","id":"41","title":"言語リファレンス"},"42":{"body":"Veryl のソースコードはいくつかの module、interface、package からなります。 module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} トランスパイルされたコードにおける module、interface、package の名前には先頭にプロジェクト名が付きます。このサンプルコードでは project_ が付きます。これはプロジェクト間で名前が衝突するのを防ぐためです。","breadcrumbs":"Language Reference » ソースコードの構造 » ソースコードの構造","id":"42","title":"ソースコードの構造"},"43":{"body":"この章では Veryl の字句構造について説明します。まず始めに、全体的なことがらからです。","breadcrumbs":"Language Reference » 字句構造 » 字句構造","id":"43","title":"字句構造"},"44":{"body":"Veryl のソースコードは UTF-8 エンコーディングでなければなりません。","breadcrumbs":"Language Reference » 字句構造 » エンコーディング","id":"44","title":"エンコーディング"},"45":{"body":"(空白)、\\t、\\n は空白として扱われ、Veryl のパーサはこれらを全て無視します。","breadcrumbs":"Language Reference » 字句構造 » 空白","id":"45","title":"空白"},"46":{"body":"行コメントと複数行コメントが使えます。ほとんどのコメントはトランスパイルされたコードにも出力されます。 // 行コメント /*\n複数 行 コメント\n*/","breadcrumbs":"Language Reference » 字句構造 » コメント","id":"46","title":"コメント"},"47":{"body":"/// ではじまる行コメントはドキュメンテーションコメントとして扱われます。ドキュメンテーションコメントはドキュメントの生成に使われます。 /// ドキュメンテーションコメント","breadcrumbs":"Language Reference » 字句構造 » ドキュメンテーションコメント","id":"47","title":"ドキュメンテーションコメント"},"48":{"body":"識別子は ASCII のアルファベットと数値、 _ からなります。先頭が数値であってはなりません。正式な定義は以下の正規表現です。 [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » 字句構造 » 識別子","id":"48","title":"識別子"},"49":{"body":"\" で囲んだものが文字列になります。\\\" や \\n のように \\ によるエスケープも可能です。 \"Hello, World!\"","breadcrumbs":"Language Reference » 字句構造 » 文字列","id":"49","title":"文字列"},"5":{"body":"変数の未定義・未使用・未代入といった問題はエディタでの編集中にリアルタイムに通知されます。次の例では、未使用変数として通知された変数に _ プレフィックスを付加することで未使用であることを明示し、警告を抑制しています。 diagnostics ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » リアルタイム診断","id":"5","title":"リアルタイム診断"},"50":{"body":"ほとんどの演算子は SystemVerilog と同じです。いくつか違いがあるので注意してください。 <: 小なり演算子です。SystemVerilog の < と同じです。 >: 大なり演算子です。SystemVerilog の > と同じです。 // 単項算術演算\na = +1;\na = -1; // 単項論理演算\na = !1;\na = ~1; // 単項集約演算\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // 二項算術演算\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // シフト演算\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // 比較演算\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // ビット演算\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // 二項論理演算\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » 演算子 » 演算子","id":"50","title":"演算子"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 数値","id":"51","title":"数値"},"52":{"body":"// 整数\n0123456789\n01_23_45_67_89 // 2進数\n32'b01xzXZ\n32'b01_xz_XZ // 8進数\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // 10進数\n32'd0123456789\n32'd01_23_45_67_89 // 16進数\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 整数","id":"52","title":"整数"},"53":{"body":"// 全て 0\n'0 // 全て 1\n'1 // 全て x\n'x\n'X // 全て z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 全ビットのセット","id":"53","title":"全ビットのセット"},"54":{"body":"ビット幅指定は省略することができます。省略された場合、トランスパイルされたコードでは適切なビット幅が付与されます。 module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 幅なし整数","id":"54","title":"幅なし整数"},"55":{"body":"\"全ビットのセット\" にビット幅指定を付与することもできます。 module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 指定ビットのセット","id":"55","title":"指定ビットのセット"},"56":{"body":"// 浮動小数点数\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // 指数表記\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 浮動小数点数","id":"56","title":"浮動小数点数"},"57":{"body":"'{} は配列リテラルを表します。リテラル内には式、repeat キーワード、default キーワードを配置することができます。 module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » 配列リテラル » 配列リテラル","id":"57","title":"配列リテラル"},"58":{"body":"この章ではデータ型について説明します。","breadcrumbs":"Language Reference » データ型 » データ型","id":"58","title":"データ型"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 組み込み型","id":"59","title":"組み込み型"},"6":{"body":"エディタと連携した自動フォーマット機能のほか、コマンドラインでのフォーマットやCIでのフォーマットチェックも可能です。 format ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » 自動フォーマット","id":"6","title":"自動フォーマット"},"60":{"body":"logic は4値(0、1、x、z)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な4値データ型","id":"60","title":"幅指定可能な4値データ型"},"61":{"body":"bit は2値(0、1)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な2値データ型","id":"61","title":"幅指定可能な2値データ型"},"62":{"body":"整数型にはいくつかの種類があります。 u32:32ビットの符号なし整数 u64:64ビットの符号なし整数 i32:32ビットの符号付き整数 i64:64ビットの符号付き整数 module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 整数型","id":"62","title":"整数型"},"63":{"body":"浮動小数点数型にもいくつかの種類があります。 f32:32ビット浮動小数点数 f64:64ビット浮動小数点数 いずれも IEEE Std 754 準拠の表現です。 module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 浮動小数点数型","id":"63","title":"浮動小数点数型"},"64":{"body":"string は文字列を表す型です。 module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 文字列型","id":"64","title":"文字列型"},"65":{"body":"type は型の種類を表す型です。type 型の変数は param か local としてのみ定義可能です。 module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » Type型","id":"65","title":"Type型"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユーザ定義型","id":"66","title":"ユーザ定義型"},"67":{"body":"struct は複合データ型です。いくつかのフィールドを持つことができ、. 演算子を通してアクセスできます。 module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 構造体","id":"67","title":"構造体"},"68":{"body":"enum は列挙型です。名前の付いたバリアントを複数持ち、enum 型の変数にはそのバリアントのうち1つだけをセットできます。バリアント名は [enum name]::[variant name] の形式で指定可能です。それぞれのバリアントは対応する整数値を持ち、= で指定することができます。指定されなかった場合は自動的に割り当てられます。 module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 列挙型","id":"68","title":"列挙型"},"69":{"body":"union はパックされたタグなしの直和型で、SystemVerilog では packed union にトランスパイルされます。ユニオンのそれぞれのバリアントの幅は同じでなければなりません。 module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユニオン","id":"69","title":"ユニオン"},"7":{"body":"SystemVerilogで書かれたテストコードをVerylに埋め込み、veryl test コマンドで実行することができます。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"特徴 » 組み込みテスト","id":"7","title":"組み込みテスト"},"70":{"body":"type キーワードを使って、スカラー型や配列型への型エイリアスを定義することができます。 module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 型定義","id":"70","title":"型定義"},"71":{"body":"任意のデータ型に対して [] と付与することで配列を定義することができます。配列の長さは [] 内の値で指定します。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} [X, Y, Z,,,] のように多次元配列も定義できます。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » 配列 » 配列","id":"71","title":"配列"},"72":{"body":"clock はクロック配線を表す特別な型です。クロックの極性を指定するため以下の3種類があります。 clock: ビルド時の設定で指定される極性を持つクロック型 clock_posedge: 正極性のクロック型 clock_negedge: 負極性のクロック型 reset はリセット配線を表す特別な型です。リセットの極性と同期・非同期を指定するため以下の5種類があります。 reset: ビルド時の設定で指定される極性と同期性を持つリセット型 reset_async_high: 正極性の非同期リセット型 reset_async_low: 負極性の非同期リセット型 reset_sync_high: 正極性の同期リセット型 reset_sync_low: 負極性の同期リセット型 特別な要件がなければ、コードの再利用を高めるため clock と reset の使用を推奨します。 module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » クロックとリセット » クロックとリセット","id":"72","title":"クロックとリセット"},"73":{"body":"この章では式について説明します。式は変数や演算子、関数呼び出しなどを組み合わせたもので、評価して値を得ることができます。","breadcrumbs":"Language Reference » 式 » 式","id":"73","title":"式"},"74":{"body":"式内での演算子の優先順位は SystemVerilog とほとんど同じです。 演算子 結合性 優先順位 () [] :: . 左 高い + - ! ~ & ~& | ~| ^ ~^ ^~ (単項) 左 ** 左 * / % 左 + - (二項) 左 << >> <<< >>> 左 <: <= >: >= 左 == != === !== ==? !=? 左 & (二項) 左 ^ ~^ ^~ (二項) 左 |(二項) 左 && 左 || 左 = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= なし {} なし 低い","breadcrumbs":"Language Reference » Expression » 演算子の優先順位 » 演算子の優先順位","id":"74","title":"演算子の優先順位"},"75":{"body":"関数は function_name(argument) の形式で呼び出すことができます。$clog2 のような SystemVerilog のシステム関数も使えます。 module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » 関数呼び出し » 関数呼び出し","id":"75","title":"関数呼び出し"},"76":{"body":"{} はビット連結を表します。{} の中では repeat キーワードを使うことで指定されたオペランドを繰り返すこともできます。 module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » 連結 » 連結","id":"76","title":"連結"},"77":{"body":"if を用いた条件式を使えます。if キーワードの後に条件を示す節を置きますが、() で囲む必要はありません。if 式は常になんらかの値に評価される必要があるため else は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » if » if","id":"77","title":"if"},"78":{"body":"もう一つの条件式が case です。case は 式: 式 という形式の条件を複数持ちます。もし case キーワードの後の式と条件の左側の式が一致すれば、その条件の右側の式が返されます。default はそれ以外の条件が全て失敗したときに返される特別な条件です。case 式は常になんらかの値に評価される必要があるため default は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » case » case","id":"78","title":"case"},"79":{"body":"[] はビット選択演算子です。[] に式を指定すれば1ビットを選択できます。範囲選択する場合は [式:式] とします。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » ビット選択 » ビット選択","id":"79","title":"ビット選択"},"8":{"body":"Verylには依存関係の管理機能が組み込まれており、プロジェクト設定に以下のようにライブラリのリポジトリパスとバージョンを追加するだけで、簡単にライブラリを組み込むことができます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"特徴 » 依存関係管理","id":"8","title":"依存関係管理"},"80":{"body":"範囲は範囲演算子で指定できます。範囲演算子には以下の2種類があります。 ..:半開区間 ..=:閉区間 範囲は for 文などの場所で使うことができます。 module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » 範囲 » 範囲","id":"80","title":"範囲"},"81":{"body":"msb と lsb は [] によるビット選択で使用できます。msb はオペランドの最上位ビットを意味します。lsb はオペランドの最下位ビットを意味し、0と同じです。 module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » msb / lsb » msb / lsb","id":"81","title":"msb / lsb"},"82":{"body":"inside は 指定された式が {} で与えられた条件内にあるかどうかを調べます。条件は単一の式または 範囲 を指定できます。条件を満たすとき inside は 1 を、そうでなければ 0 を返します。outside はその逆です。 module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » inside / outside » inside / outside","id":"82","title":"inside / outside"},"83":{"body":"この章では文について説明します。文は always_ff や always_comb などいくつかの宣言で使用することができます。","breadcrumbs":"Language Reference » 文 » 文","id":"83","title":"文"},"84":{"body":"代入文は 変数 = 式; の形式です。SystemVerilog と異なり、always_comb でも always_ff でも代入演算子は = です。以下のような代入演算子もあります。 +=:加算代入 -=:減算代入 *=:乗算代入 /=:除算代入 %=:剰余代入 &=:ビットAND代入 |=:ビットOR代入 ^=:ビットXOR代入 <<=:論理左シフト代入 >>=:論理右シフト代入 <<<=:算術左シフト代入 >>>=:算術右シフト代入 module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » 代入 » 代入","id":"84","title":"代入"},"85":{"body":"関数呼び出しは文として使うこともできます。この場合、関数の戻り値は無視されます。 module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » 関数呼び出し » 関数呼び出し","id":"85","title":"関数呼び出し"},"86":{"body":"if は文として使うこともできます。if 式との違いは {} 内に文を書くことです。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » if » if","id":"86","title":"if"},"87":{"body":"case は文として使うこともできます。条件の右側は文になります。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » case » case","id":"87","title":"case"},"88":{"body":"for 文は繰り返しを表します。in キーワードの前にループ変数を、後に 範囲 を書きます。 break を使ってループを中断することもできます。 module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » for » for","id":"88","title":"for"},"89":{"body":"return 文は関数からの戻りを示します。return キーワードの後の式は関数の戻り値です。 module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » return » return","id":"89","title":"return"},"9":{"body":"ジェネリクスによるコード生成は従来のパラメータオーバーライドよりさらに再利用性の高いコードを記述することができます。以下の例のような関数のパラメータだけでなく、インスタンスのモジュール名や構造体定義の型名もパラメータ化することができます。 SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"特徴 » ジェネリクス","id":"9","title":"ジェネリクス"},"90":{"body":"let 文はある名前に値を束縛します。これは always_ff 、 always_comb および関数宣言の中で使うことができます。 let 文はブロック中のどこにでも置くことができます。 module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » let » let","id":"90","title":"let"},"91":{"body":"この章では宣言について説明します。","breadcrumbs":"Language Reference » 宣言 » 宣言","id":"91","title":"宣言"},"92":{"body":"変数宣言は var キーワードで始まり、変数名、:、変数の型と続きます。 未使用の変数は警告が発生します。_ で始まる変数名は未使用変数を意味し、警告を抑制します。 宣言時に名前に値を束縛する場合は var の代わりに let を使います。 module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » 変数 » 変数","id":"92","title":"変数"},"93":{"body":"パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。 module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » パラメータ » パラメータ","id":"93","title":"パラメータ"},"94":{"body":"レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。 if_reset は always_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml の [build] セクションで設定できます。 モジュール内にクロックとリセットが1つしかない場合、クロックとリセットの指定は省略できます。 module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; var c: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } } always_ff { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » レジスタ » レジスタ","id":"94","title":"レジスタ"},"95":{"body":"always_comb で代入される変数は組み合わせ回路を意味します。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » 組み合わせ回路 » 組み合わせ回路","id":"95","title":"組み合わせ回路"},"96":{"body":"assign 宣言で変数に式を代入することができます。 module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » assign » assign","id":"96","title":"assign"},"97":{"body":"関数は function キーワードで宣言できます。引数は () 内に書き、戻り値の型を -> の後に書きます。 関数が戻り値を持たない場合、-> は省略できます。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » 関数 » 関数","id":"97","title":"関数"},"98":{"body":"initial ブロック内の文はシミュレーション開始時に実行され、final は終了時です。どちらも論理合成では無視され、デバッグやアサーションに使うことができます。 module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » initial / final » initial / final","id":"98","title":"initial / final"},"99":{"body":"アトリビュートは変数宣言などいくつかの宣言に注釈を付けることができます。","breadcrumbs":"Language Reference » Declaration » アトリビュート » アトリビュート","id":"99","title":"アトリビュート"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":47,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":2.0},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.0},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":1.7320508075688772},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":1.7320508075688772}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":1,"docs":{"164":{"tf":2.23606797749979}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.4142135623730951},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.0},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.0}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.4142135623730951},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.4142135623730951},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.4142135623730951},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":1.7320508075688772}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":4.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.4142135623730951},"155":{"tf":1.7320508075688772},"156":{"tf":1.0},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":6,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"65":{"tf":2.449489742783178},"70":{"tf":2.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.4142135623730951},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":1.7320508075688772},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":47,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":2.0},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":27,"docs":{"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":2.23606797749979},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":6,"docs":{"28":{"tf":1.0},"29":{"tf":2.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":15,"docs":{"164":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":14,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"167":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.7320508075688772},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":11,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}},"t":{"df":13,"docs":{"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.449489742783178}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.7320508075688772},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.7320508075688772},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.7320508075688772},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":2.0}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":14,"docs":{"167":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":8,"docs":{"167":{"tf":4.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.7320508075688772},"155":{"tf":1.7320508075688772},"156":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":18,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":2.8284271247461903},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.23606797749979},"71":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.7320508075688772},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":2.0},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"96":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"128":{"tf":1.0}}},"df":0,"docs":{}}}}},"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"140":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"14":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"136":{"tf":1.0}}}},"df":0,"docs":{}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"122":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"121":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"139":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":2,"docs":{"138":{"tf":1.0},"156":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":1,"docs":{"65":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"123":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}}); \ No newline at end of file diff --git a/nightly/book/ja/searchindex.json b/nightly/book/ja/searchindex.json index 3b69eefa..ab1d8ece 100644 --- a/nightly/book/ja/searchindex.json +++ b/nightly/book/ja/searchindex.json @@ -1 +1 @@ -{"doc_urls":["01_introduction.html#ハードウェア記述言語-veryl","01_introduction.html#最適化された構文","01_introduction.html#相互運用性","01_introduction.html#生産性","02_features.html#特徴","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#はじめに","03_getting_started/01_installation.html#インストール","03_getting_started/01_installation.html#要件","03_getting_started/01_installation.html#インストール方法の選択","03_getting_started/01_installation.html#バイナリのダウンロード","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#エディタ設定","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#そのほかのエディタ","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#プロジェクトを作る","03_getting_started/02_hello_world.html#コードを書く","03_getting_started/02_hello_world.html#ビルドする","04_code_examples.html#コード例","04_code_examples/01_module.html#モジュール","04_code_examples/02_instantiation.html#インスタンス","04_code_examples/03_interface.html#インターフェース","04_code_examples/04_package.html#パッケージ","05_language_reference.html#言語リファレンス","05_language_reference/01_source_code_structure.html#ソースコードの構造","05_language_reference/02_lexical_structure.html#字句構造","05_language_reference/02_lexical_structure.html#エンコーディング","05_language_reference/02_lexical_structure.html#空白","05_language_reference/02_lexical_structure.html#コメント","05_language_reference/02_lexical_structure.html#ドキュメンテーションコメント","05_language_reference/02_lexical_structure.html#識別子","05_language_reference/02_lexical_structure.html#文字列","05_language_reference/02_lexical_structure/01_operator.html#演算子","05_language_reference/02_lexical_structure/02_number.html#数値","05_language_reference/02_lexical_structure/02_number.html#整数","05_language_reference/02_lexical_structure/02_number.html#全ビットのセット","05_language_reference/02_lexical_structure/02_number.html#幅なし整数","05_language_reference/02_lexical_structure/02_number.html#指定ビットのセット","05_language_reference/02_lexical_structure/02_number.html#浮動小数点数","05_language_reference/02_lexical_structure/03_array_literal.html#配列リテラル","05_language_reference/03_data_type.html#データ型","05_language_reference/03_data_type/01_builtin_type.html#組み込み型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な4値データ型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な2値データ型","05_language_reference/03_data_type/01_builtin_type.html#整数型","05_language_reference/03_data_type/01_builtin_type.html#浮動小数点数型","05_language_reference/03_data_type/01_builtin_type.html#文字列型","05_language_reference/03_data_type/01_builtin_type.html#type型","05_language_reference/03_data_type/02_user_defined_type.html#ユーザ定義型","05_language_reference/03_data_type/02_user_defined_type.html#構造体","05_language_reference/03_data_type/02_user_defined_type.html#列挙型","05_language_reference/03_data_type/02_user_defined_type.html#ユニオン","05_language_reference/03_data_type/02_user_defined_type.html#型定義","05_language_reference/03_data_type/03_array.html#配列","05_language_reference/03_data_type/04_clock_reset.html#クロックとリセット","05_language_reference/04_expression.html#式","05_language_reference/04_expression/01_operator_precedence.html#演算子の優先順位","05_language_reference/04_expression/02_function_call.html#関数呼び出し","05_language_reference/04_expression/03_concatenation.html#連結","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#ビット選択","05_language_reference/04_expression/07_range.html#範囲","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#文","05_language_reference/05_statement/01_assignment.html#代入","05_language_reference/05_statement/02_function_call.html#関数呼び出し","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#宣言","05_language_reference/06_declaration/01_variable.html#変数","05_language_reference/06_declaration/02_parameter.html#パラメータ","05_language_reference/06_declaration/03_register.html#レジスタ","05_language_reference/06_declaration/04_combinational.html#組み合わせ回路","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#関数","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#アトリビュート","05_language_reference/06_declaration/08_attribute.html#sv-アトリビュート","05_language_reference/06_declaration/09_generate.html#生成","05_language_reference/06_declaration/10_instantiation.html#インスタンス","05_language_reference/06_declaration/11_named_block.html#名前付きブロック","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#モジュール","05_language_reference/08_interface.html#インターフェース","05_language_reference/09_package.html#パッケージ","05_language_reference/10_systemverilog_interoperation.html#systemverilogとの相互運用","05_language_reference/11_visibility.html#可視性","05_language_reference/12_foreign_language_integration.html#他言語組み込み","05_language_reference/12_foreign_language_integration.html#embed-宣言","05_language_reference/12_foreign_language_integration.html#include-宣言","05_language_reference/13_integrated_test.html#組み込みテスト","05_language_reference/14_generics.html#ジェネリクス","05_language_reference/14_generics.html#ジェネリック関数","05_language_reference/14_generics.html#ジェネリックモジュールインターフェース","05_language_reference/14_generics.html#ジェネリックパッケージ","05_language_reference/14_generics.html#ジェネリック構造体","06_development_environment.html#開発環境","06_development_environment/01_project_configuration.html#プロジェクト設定","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#依存関係","06_development_environment/02_dependencies.html#依存関係の使用","06_development_environment/02_dependencies.html#バージョン要求","06_development_environment/03_publish_project.html#プロジェクトを公開する","06_development_environment/03_publish_project.html#バージョンを上げる","06_development_environment/03_publish_project.html#設定","06_development_environment/04_directory_layout.html#ディレクトリ構成","06_development_environment/04_directory_layout.html#単一のソースディレクトリ","06_development_environment/04_directory_layout.html#単一のソースとターゲットディレクトリ","06_development_environment/04_directory_layout.html#マルチソースディレクトリ","06_development_environment/04_directory_layout.html#gitignore-について","06_development_environment/05_formatter.html#フォーマッタ","06_development_environment/06_linter.html#リンタ","06_development_environment/06_linter.html#lintnaming-セクション","06_development_environment/07_simulator.html#シミュレータ","06_development_environment/07_simulator.html#test-セクション","06_development_environment/07_simulator.html#testverilator-セクション","06_development_environment/07_simulator.html#testvcs-セクション","06_development_environment/07_simulator.html#testvivado-セクション","06_development_environment/08_language_server.html#言語サーバ","06_development_environment/09_compatibility.html#互換性","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#文字列パラメータ","06_development_environment/10_documentation.html#ドキュメンテーション","06_development_environment/11_github_action.html#github-action","07_appendix.html#補遺","07_appendix/01_formal_syntax.html#構文","07_appendix/02_semantic_error.html#セマンティックエラー","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":3,"breadcrumbs":1,"title":1},"1":{"body":0,"breadcrumbs":0,"title":0},"10":{"body":20,"breadcrumbs":0,"title":0},"100":{"body":13,"breadcrumbs":4,"title":1},"101":{"body":14,"breadcrumbs":3,"title":0},"102":{"body":36,"breadcrumbs":3,"title":0},"103":{"body":10,"breadcrumbs":3,"title":0},"104":{"body":35,"breadcrumbs":7,"title":2},"105":{"body":31,"breadcrumbs":2,"title":0},"106":{"body":27,"breadcrumbs":2,"title":0},"107":{"body":7,"breadcrumbs":2,"title":0},"108":{"body":17,"breadcrumbs":4,"title":1},"109":{"body":11,"breadcrumbs":2,"title":0},"11":{"body":37,"breadcrumbs":0,"title":0},"110":{"body":0,"breadcrumbs":2,"title":0},"111":{"body":13,"breadcrumbs":3,"title":1},"112":{"body":4,"breadcrumbs":3,"title":1},"113":{"body":25,"breadcrumbs":2,"title":0},"114":{"body":1,"breadcrumbs":2,"title":0},"115":{"body":15,"breadcrumbs":2,"title":0},"116":{"body":17,"breadcrumbs":2,"title":0},"117":{"body":15,"breadcrumbs":2,"title":0},"118":{"body":27,"breadcrumbs":2,"title":0},"119":{"body":0,"breadcrumbs":0,"title":0},"12":{"body":20,"breadcrumbs":0,"title":0},"120":{"body":21,"breadcrumbs":2,"title":0},"121":{"body":4,"breadcrumbs":3,"title":1},"122":{"body":2,"breadcrumbs":3,"title":1},"123":{"body":4,"breadcrumbs":3,"title":1},"124":{"body":9,"breadcrumbs":3,"title":1},"125":{"body":1,"breadcrumbs":3,"title":1},"126":{"body":10,"breadcrumbs":3,"title":1},"127":{"body":5,"breadcrumbs":3,"title":1},"128":{"body":1,"breadcrumbs":3,"title":1},"129":{"body":3,"breadcrumbs":3,"title":1},"13":{"body":20,"breadcrumbs":1,"title":1},"130":{"body":5,"breadcrumbs":3,"title":1},"131":{"body":5,"breadcrumbs":3,"title":1},"132":{"body":14,"breadcrumbs":3,"title":1},"133":{"body":8,"breadcrumbs":3,"title":1},"134":{"body":6,"breadcrumbs":3,"title":1},"135":{"body":6,"breadcrumbs":3,"title":1},"136":{"body":1,"breadcrumbs":3,"title":1},"137":{"body":1,"breadcrumbs":3,"title":1},"138":{"body":1,"breadcrumbs":3,"title":1},"139":{"body":1,"breadcrumbs":3,"title":1},"14":{"body":20,"breadcrumbs":1,"title":1},"140":{"body":1,"breadcrumbs":3,"title":1},"141":{"body":25,"breadcrumbs":2,"title":0},"142":{"body":26,"breadcrumbs":2,"title":0},"143":{"body":20,"breadcrumbs":2,"title":0},"144":{"body":54,"breadcrumbs":2,"title":0},"145":{"body":39,"breadcrumbs":2,"title":0},"146":{"body":16,"breadcrumbs":2,"title":0},"147":{"body":1,"breadcrumbs":2,"title":0},"148":{"body":36,"breadcrumbs":2,"title":0},"149":{"body":25,"breadcrumbs":2,"title":0},"15":{"body":17,"breadcrumbs":1,"title":1},"150":{"body":24,"breadcrumbs":2,"title":0},"151":{"body":7,"breadcrumbs":3,"title":1},"152":{"body":9,"breadcrumbs":2,"title":0},"153":{"body":9,"breadcrumbs":2,"title":0},"154":{"body":171,"breadcrumbs":3,"title":1},"155":{"body":14,"breadcrumbs":2,"title":0},"156":{"body":5,"breadcrumbs":3,"title":1},"157":{"body":4,"breadcrumbs":3,"title":1},"158":{"body":4,"breadcrumbs":3,"title":1},"159":{"body":7,"breadcrumbs":3,"title":1},"16":{"body":28,"breadcrumbs":1,"title":1},"160":{"body":4,"breadcrumbs":2,"title":0},"161":{"body":2,"breadcrumbs":2,"title":0},"162":{"body":0,"breadcrumbs":3,"title":1},"163":{"body":10,"breadcrumbs":2,"title":0},"164":{"body":75,"breadcrumbs":2,"title":0},"165":{"body":87,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":0,"title":0},"167":{"body":2247,"breadcrumbs":1,"title":0},"168":{"body":0,"breadcrumbs":1,"title":0},"169":{"body":0,"breadcrumbs":2,"title":1},"17":{"body":28,"breadcrumbs":2,"title":2},"170":{"body":0,"breadcrumbs":2,"title":1},"171":{"body":0,"breadcrumbs":2,"title":1},"172":{"body":0,"breadcrumbs":2,"title":1},"173":{"body":0,"breadcrumbs":2,"title":1},"174":{"body":0,"breadcrumbs":2,"title":1},"175":{"body":0,"breadcrumbs":2,"title":1},"176":{"body":0,"breadcrumbs":2,"title":1},"177":{"body":0,"breadcrumbs":2,"title":1},"178":{"body":0,"breadcrumbs":2,"title":1},"179":{"body":0,"breadcrumbs":2,"title":1},"18":{"body":18,"breadcrumbs":1,"title":1},"180":{"body":0,"breadcrumbs":2,"title":1},"181":{"body":0,"breadcrumbs":2,"title":1},"182":{"body":0,"breadcrumbs":2,"title":1},"183":{"body":0,"breadcrumbs":2,"title":1},"184":{"body":0,"breadcrumbs":2,"title":1},"185":{"body":0,"breadcrumbs":2,"title":1},"186":{"body":0,"breadcrumbs":2,"title":1},"187":{"body":0,"breadcrumbs":2,"title":1},"188":{"body":0,"breadcrumbs":2,"title":1},"189":{"body":0,"breadcrumbs":2,"title":1},"19":{"body":22,"breadcrumbs":0,"title":0},"190":{"body":0,"breadcrumbs":2,"title":1},"191":{"body":0,"breadcrumbs":2,"title":1},"192":{"body":0,"breadcrumbs":2,"title":1},"193":{"body":0,"breadcrumbs":2,"title":1},"2":{"body":0,"breadcrumbs":0,"title":0},"20":{"body":7,"breadcrumbs":0,"title":0},"21":{"body":14,"breadcrumbs":0,"title":0},"22":{"body":2,"breadcrumbs":0,"title":0},"23":{"body":3,"breadcrumbs":2,"title":0},"24":{"body":3,"breadcrumbs":2,"title":0},"25":{"body":0,"breadcrumbs":2,"title":0},"26":{"body":0,"breadcrumbs":2,"title":0},"27":{"body":6,"breadcrumbs":3,"title":1},"28":{"body":5,"breadcrumbs":2,"title":0},"29":{"body":11,"breadcrumbs":5,"title":3},"3":{"body":0,"breadcrumbs":0,"title":0},"30":{"body":7,"breadcrumbs":4,"title":2},"31":{"body":2,"breadcrumbs":2,"title":0},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":25,"breadcrumbs":4,"title":0},"34":{"body":20,"breadcrumbs":4,"title":0},"35":{"body":44,"breadcrumbs":4,"title":0},"36":{"body":20,"breadcrumbs":0,"title":0},"37":{"body":68,"breadcrumbs":2,"title":0},"38":{"body":78,"breadcrumbs":2,"title":0},"39":{"body":56,"breadcrumbs":2,"title":0},"4":{"body":7,"breadcrumbs":0,"title":0},"40":{"body":24,"breadcrumbs":2,"title":0},"41":{"body":1,"breadcrumbs":0,"title":0},"42":{"body":12,"breadcrumbs":2,"title":0},"43":{"body":1,"breadcrumbs":2,"title":0},"44":{"body":3,"breadcrumbs":2,"title":0},"45":{"body":2,"breadcrumbs":2,"title":0},"46":{"body":0,"breadcrumbs":2,"title":0},"47":{"body":0,"breadcrumbs":2,"title":0},"48":{"body":7,"breadcrumbs":2,"title":0},"49":{"body":3,"breadcrumbs":2,"title":0},"5":{"body":3,"breadcrumbs":0,"title":0},"50":{"body":68,"breadcrumbs":4,"title":0},"51":{"body":0,"breadcrumbs":4,"title":0},"52":{"body":14,"breadcrumbs":4,"title":0},"53":{"body":10,"breadcrumbs":4,"title":0},"54":{"body":18,"breadcrumbs":4,"title":0},"55":{"body":18,"breadcrumbs":4,"title":0},"56":{"body":5,"breadcrumbs":4,"title":0},"57":{"body":27,"breadcrumbs":4,"title":0},"58":{"body":0,"breadcrumbs":2,"title":0},"59":{"body":0,"breadcrumbs":4,"title":0},"6":{"body":2,"breadcrumbs":0,"title":0},"60":{"body":18,"breadcrumbs":5,"title":1},"61":{"body":18,"breadcrumbs":5,"title":1},"62":{"body":18,"breadcrumbs":4,"title":0},"63":{"body":13,"breadcrumbs":4,"title":0},"64":{"body":5,"breadcrumbs":4,"title":0},"65":{"body":17,"breadcrumbs":5,"title":1},"66":{"body":0,"breadcrumbs":4,"title":0},"67":{"body":22,"breadcrumbs":4,"title":0},"68":{"body":17,"breadcrumbs":4,"title":0},"69":{"body":24,"breadcrumbs":4,"title":0},"7":{"body":15,"breadcrumbs":0,"title":0},"70":{"body":15,"breadcrumbs":4,"title":0},"71":{"body":99,"breadcrumbs":4,"title":0},"72":{"body":68,"breadcrumbs":4,"title":0},"73":{"body":0,"breadcrumbs":2,"title":0},"74":{"body":1,"breadcrumbs":3,"title":0},"75":{"body":22,"breadcrumbs":3,"title":0},"76":{"body":20,"breadcrumbs":3,"title":0},"77":{"body":14,"breadcrumbs":3,"title":0},"78":{"body":26,"breadcrumbs":5,"title":1},"79":{"body":16,"breadcrumbs":3,"title":0},"8":{"body":4,"breadcrumbs":0,"title":0},"80":{"body":9,"breadcrumbs":3,"title":0},"81":{"body":18,"breadcrumbs":7,"title":2},"82":{"body":29,"breadcrumbs":7,"title":2},"83":{"body":2,"breadcrumbs":2,"title":0},"84":{"body":34,"breadcrumbs":3,"title":0},"85":{"body":5,"breadcrumbs":3,"title":0},"86":{"body":16,"breadcrumbs":3,"title":0},"87":{"body":26,"breadcrumbs":5,"title":1},"88":{"body":10,"breadcrumbs":3,"title":0},"89":{"body":9,"breadcrumbs":5,"title":1},"9":{"body":57,"breadcrumbs":0,"title":0},"90":{"body":35,"breadcrumbs":3,"title":0},"91":{"body":0,"breadcrumbs":2,"title":0},"92":{"body":33,"breadcrumbs":3,"title":0},"93":{"body":11,"breadcrumbs":3,"title":0},"94":{"body":34,"breadcrumbs":3,"title":0},"95":{"body":11,"breadcrumbs":3,"title":0},"96":{"body":7,"breadcrumbs":5,"title":1},"97":{"body":24,"breadcrumbs":3,"title":0},"98":{"body":8,"breadcrumbs":7,"title":2},"99":{"body":0,"breadcrumbs":3,"title":0}},"docs":{"0":{"body":"Veryl Veryl は SystemVerilog をベースに設計されたハードウェア記述言語であり、以下のような特徴があります。","breadcrumbs":"概要 » ハードウェア記述言語 Veryl","id":"0","title":"ハードウェア記述言語 Veryl"},"1":{"body":"Verylは、SystemVerilogの経験者にとって親しみやすい基本構文に基づきながら、論理設計に最適化された構文を採用しています。この最適化には、たとえば合成可能性の保証やシミュレーション結果の一致の保証、頻出する定型文を簡素化する多数の構文などの提供が含まれます。このアプローチにより、学習の容易さ、設計プロセスの信頼性と効率の向上、およびコードの記述の容易さが実現されます。","breadcrumbs":"概要 » 最適化された構文","id":"1","title":"最適化された構文"},"10":{"body":"末尾カンマは、リストの最後の要素の後ろにカンマが置かれる構文です。これにより、要素の追加や削除が容易になり、バージョン管理システムにおける不必要な差異を減らすことができます。 SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"特徴 » 末尾カンマ","id":"10","title":"末尾カンマ"},"100":{"body":"SV アトリビュートは SystemVerilog のアトリビュートを表し、(* *) という形式の SystemVerilog アトリビュートに変換されます。 module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » アトリビュート » SV アトリビュート","id":"100","title":"SV アトリビュート"},"101":{"body":"宣言や for や if を使って生成することができます。: で示すラベルは生成された複数の宣言を識別するのに必要です。 module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // else 句のラベルは省略可能 assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » 生成 » 生成","id":"101","title":"生成"},"102":{"body":"inst キーワードはモジュールやインターフェースのインスタンス化を表します。インスタンスの名前は inst の後に、インスタンスの型は : の後に書きます。#() でパラメータオーバーライドを、() でポート接続を表します。 module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // 名前によるパラメータ代入 paramB: 10, ) ( a , // 名前によるポート接続 bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » インスタンス » インスタンス","id":"102","title":"インスタンス"},"103":{"body":"{} ブロックにラベルを付けることができます。そのような名前付きブロックは独立した名前空間を持ちます。 module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » 名前付きブロック » 名前付きブロック","id":"103","title":"名前付きブロック"},"104":{"body":"import 宣言は他のパッケージからシンボルをインポートします。モジュール、インターフェース、パッケージの要素としてだけでなくトップレベルにも配置することができます。import 宣言の引数には package::* のようなワイルドカードパターンを使用することができます。 // ファイルスコープインポート\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export 宣言は宣言したパッケージからシンボルをエクスポートします。全てのシンボルをエクスポートするには export * を使用します。 package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"モジュールはソースコードの最上位コンポーネントの1つです。モジュールはオーバーライド可能なパラメータ、接続ポート、内部ロジックを持ちます。 オーバーライド可能なパラメータは #() 内で宣言できます。それぞれのパラメータ宣言は param キーワードで始まり、識別子、:、パラメータの型、デフォルト値で構成されます。 接続ポートは () 内で宣言できます。それぞれのポート宣言は識別子、:、ポートの方向、ポートの型で構成されます。利用可能なポート方向は以下の通りです。 input:入力ポート output:出力ポート inout:双方向ポート modport:インターフェースのmodport module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » モジュール » モジュール","id":"105","title":"モジュール"},"106":{"body":"インターフェースはソースコードの最上位コンポーネントの1つです。インターフェースはオーバーライド可能なパラメータ、インターフェース定義を持ちます。 オーバーライド可能なパラメータについてはモジュールと同じです。 インターフェース定義では modport を宣言することができます。modport はモジュールのポート宣言で、ポートを束ねて接続するために使うことができます。 interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » インターフェース » インターフェース","id":"106","title":"インターフェース"},"107":{"body":"パッケージはソースコードの最上位コンポーネントの1つです。パッケージはパラメータや関数などいくつかの宣言をまとめることができます。 パッケージ内の要素にアクセスするには、:: 記号を使って PackageA::ParamA のようにします。 package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » パッケージ » パッケージ","id":"107","title":"パッケージ"},"108":{"body":"SystemVerilogの要素にアクセスする場合は $sv 名前空間を使えます。例えば、SystemVerilogソースコードの \"ModuleA\" は $sv::ModuleA です。Veryl はこれらの要素が実際に存在するかどうかは確認しません。 module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilogとの相互運用 » SystemVerilogとの相互運用","id":"108","title":"SystemVerilogとの相互運用"},"109":{"body":"デフォルトではプロジェクトのトップレベルアイテム(モジュール、インターフェース、パッケージ)はプライベートです。プライベートとは他のプロジェクトから参照できないことを意味します。 pub キーワードによって他のプロジェクトから見えるように指定することができます。veryl doc コマンドはパブリックなアイテムの ドキュメント のみを生成します。 pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » 可視性 » 可視性","id":"109","title":"可視性"},"11":{"body":"クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。 さらに、明示的な clock と reset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。 SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff (i_clk, i_rst) { if_reset { } else { } }\n}","breadcrumbs":"特徴 » クロックとリセットの抽象化","id":"11","title":"クロックとリセットの抽象化"},"110":{"body":"","breadcrumbs":"Language Reference » 他言語組み込み » 他言語組み込み","id":"110","title":"他言語組み込み"},"111":{"body":"embed 宣言により他言語をコードに埋め込むことができます。embed 宣言の第一引数は埋め込み方法です。以下の方法がサポートされています。 inline: コードをそのまま展開する コードブロックは lang{{{ で始まり、}}} で終わります。以下の lang 指示子がサポートされています。 sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » 他言語組み込み » embed 宣言","id":"111","title":"embed 宣言"},"112":{"body":"include 宣言により他言語のファイルを含めることができます。include 宣言の第一引数は embed 宣言と同じです。第二引数はソースコードからの相対ファイルパスです。 include(inline, \"module.sv\");","breadcrumbs":"Language Reference » 他言語組み込み » include 宣言","id":"112","title":"include 宣言"},"113":{"body":"組み込みテストは #[test(test_name)] アトリビュートでマークすることができます。マークされたブロックはテストとして認識され、 veryl test コマンドによって実行されます。ブロックのトップレベルモジュールはテスト名と同じでなければなりません。 $info、$warning、$error、$fatal システム関数によるメッセージは Veryl コンパイラにより実行ログとして表示されます。$error と $fatal の呼び出しはテストの失敗として扱われます。 以下の例では SystemVerilog のソースコードを embed 宣言で埋め込み、テストとしてマークしています。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} veryl test で使用される RTLシミュレータについては シミュレータ を参照してください。","breadcrumbs":"Language Reference » 組み込みテスト » 組み込みテスト","id":"113","title":"組み込みテスト"},"114":{"body":"ジェネリクスはパラメータオーバーライドでは実現できないアイテムのパラメータ化を可能にします。以下のアイテムがジェネリクスをサポートしています。 関数 モジュール インターフェース パッケージ 構造体 ユニオン それぞれのジェネリック定義はジェネリックパラメータ(T のような大文字1文字がよく使われます)を持ち、定義内で識別子や式として配置できます。ジェネリックパラメータはアイテムの識別子の後に ::<> を用いて宣言します。 ジェネリクスを使用するためには ::<> を用いて実パラメータを与えます。実パラメータとしては数値リテラルと :: で連結された識別子を使用することができます。 さらに、実パラメータはジェネリクス定義位置から参照できなければなりません。例えば、モジュール名はプロジェクト全体から参照できるので、実パラメータとして使用できます。一方、ローカルパラメータは多くの場合、実パラメータとして使用できません。これはローカルパラメータがジェネリクス定義位置からは参照できない場合に発生します。","breadcrumbs":"Language Reference » ジェネリクス » ジェネリクス","id":"114","title":"ジェネリクス"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック関数","id":"115","title":"ジェネリック関数"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックモジュール/インターフェース","id":"116","title":"ジェネリックモジュール/インターフェース"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックパッケージ","id":"117","title":"ジェネリックパッケージ"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // ローカルに定位された型が使用できています // これは `TypeA` が `StructA` の定義位置から参照できるためです var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック構造体","id":"118","title":"ジェネリック構造体"},"119":{"body":"この章ではプロジェクト設定や開発ツールなど開発環境について説明します。","breadcrumbs":"開発環境 » 開発環境","id":"119","title":"開発環境"},"12":{"body":"ドキュメンテーションコメントとしてモジュールの説明を書いておくとドキュメントを自動生成することができます。単なるテキストだけでなく、マークダウン形式や WaveDrom による波形記述を使用することができます。 SystemVerilog\nVeryl // コメント\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"特徴 » ドキュメンテーションコメント","id":"12","title":"ドキュメンテーションコメント"},"120":{"body":"[project] --- プロジェクト定義 name --- プロジェクトの名前 version --- プロジェクトのバージョン authors --- プロジェクトの作者 description --- プロジェクトの説明 license --- プロジェクトのライセンス repository --- プロジェクトのリポジトリの URL [build] --- ビルド設定 clock_type --- クロックタイプ reset_type --- リセットタイプ filelist_type --- ファイルリストのタイプ target --- 出力方法 implicit_parameter_types --- 暗黙のパラメータ型を有効にするかどうか omit_project_prefix --- プロジェクト名のプレフィックスを省略するかどうか strip_comments --- コメントを削除するかどうか [format] --- フォーマット設定 [lint] --- リント設定 [test] --- テスト設定 [publish] --- 公開設定 [dependencies] --- ライブラリの依存関係","breadcrumbs":"Development Environment » プロジェクト設定 » プロジェクト設定","id":"120","title":"プロジェクト設定"},"121":{"body":"Veryl.toml の最初のセクションは [project] です。name と version は必須です。","breadcrumbs":"Development Environment » プロジェクト設定 » [project] セクション","id":"121","title":"[project] セクション"},"122":{"body":"プロジェクト名は生成されるコードのプレフィックスに使われます。そのためプロジェクト名はアルファベットか _ で始まり、英数字と_ しか使ってはいけません。","breadcrumbs":"Development Environment » プロジェクト設定 » name フィールド","id":"122","title":"name フィールド"},"123":{"body":"プロジェクトのバージョンは セマンティックバージョニング に従います。バージョンは以下の3つの数字からなります。 メジャー -- 互換性のない変更時に上げる マイナー -- 互換性のある機能追加時に上げる バッチ -- 互換性のあるバグ修正時に上げる [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » version フィールド","id":"123","title":"version フィールド"},"124":{"body":"オプションの authors フィールドにはこのプロジェクトの作者である人や組織を配列にリストアップします。配列内の各文字列のフォーマットは自由です。名前のみ、Eメールアドレスのみ、名前と括弧で囲んだEメールアドレスといった形式がよく使われます。 [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » プロジェクト設定 » authors フィールド","id":"124","title":"authors フィールド"},"125":{"body":"description はプロジェクトの短い説明です。マークダウンではなくプレーンテキスト形式で書きます。","breadcrumbs":"Development Environment » プロジェクト設定 » description フィールド","id":"125","title":"description フィールド"},"126":{"body":"license フィールドはこのプロジェクトがどのライセンスで公開されているかを指定します。指定する文字列は SPDX 2.3 license expression に従ってください。 [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » license フィールド","id":"126","title":"license フィールド"},"127":{"body":"repository フィールドはプロジェクトのソースリポジトリへのURLです。 [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » プロジェクト設定 » repository フィールド","id":"127","title":"repository フィールド"},"128":{"body":"[build] セクションはコード生成の設定です。","breadcrumbs":"Development Environment » プロジェクト設定 » [build] セクション","id":"128","title":"[build] セクション"},"129":{"body":"clock_type フィールドはフリップフロップを駆動するクロックエッジを指定します。 posedge -- 立ち上がりエッジ negedge -- 立ち下がりエッジ","breadcrumbs":"Development Environment » プロジェクト設定 » clock_type フィールド","id":"129","title":"clock_type フィールド"},"13":{"body":"ノンブロッキング専用の代入演算子はなく、always_ff 内ではノンブロッキング代入が、 always_comb 内ではブロッキング代入が推論されます。そのため always_ff 内でも always_comb 内と同様に様々な複合代入演算子を使用することができます。 SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"特徴 » always_ff での複合代入演算子","id":"13","title":"always_ff での複合代入演算子"},"130":{"body":"reset_type フィールドはリセットの極性と同期性を指定します。 async_low -- 非同期・負極性 async_high -- 非同期・正極性 sync_low -- 同期・負極性 sync_high -- 同期・正極性","breadcrumbs":"Development Environment » プロジェクト設定 » reset_type フィールド","id":"130","title":"reset_type フィールド"},"131":{"body":"filelist_type フィールドはファイルリストのフォーマットを指定します。 absolute -- プレーンテキスト形式の絶対パスのリスト relative -- プレーンテキスト形式の相対パスのリスト flgen -- flgen 形式のファイルリスト","breadcrumbs":"Development Environment » プロジェクト設定 » filelist_type フィールド","id":"131","title":"filelist_type フィールド"},"132":{"body":"target フィールドはコードの生成先を指定します。 source -- ソースコードと同じディレクトリ directory -- 特定のディレクトリ bundle -- 特定のファイル directory あるいは bundle を指定する場合は、ターゲットパスを path キーで指定します。 [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » プロジェクト設定 » target フィールド","id":"132","title":"target フィールド"},"133":{"body":"implicit_parameter_types フィールドは生成コードの parameter 宣言で省略する型をリストアップします。いくつかのEDAツールでは特定の型(例えば string)を parameter 宣言で使うことができないためです。例えば string を指定する場合は以下のようにします。 [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » プロジェクト設定 » implicit_parameter_types フィールド","id":"133","title":"implicit_parameter_types フィールド"},"134":{"body":"omit_project_prefix が true のとき、モジュール・インターフェース・パッケージ名のプロジェクトプレフィックスは省略されます。この値はデフォルトで false です。 [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » プロジェクト設定 » omit_project_prefix フィールド","id":"134","title":"omit_project_prefix フィールド"},"135":{"body":"strip_comments が true のとき、コメント出力は省略されます。この値はデフォルトで false です。 [build]\nstrip_comments = true","breadcrumbs":"Development Environment » プロジェクト設定 » strip_comments フィールド","id":"135","title":"strip_comments フィールド"},"136":{"body":"[format] セクションはコードフォーマッターの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [format] セクション","id":"136","title":"[format] セクション"},"137":{"body":"[lint] セクションはリンタの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [lint] セクション","id":"137","title":"[lint] セクション"},"138":{"body":"[test] セクションはRTLシミュレータによるテストの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [test] セクション","id":"138","title":"[test] セクション"},"139":{"body":"[publish] セクションはプロジェクト公開の設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [publish] セクション","id":"139","title":"[publish] セクション"},"14":{"body":"enumのバリアントはenum毎に独立した名前空間を持っており意図しない名前の衝突を防ぐことができます。 SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"特徴 » 独立した名前空間を持つenumバリアント","id":"14","title":"独立した名前空間を持つenumバリアント"},"140":{"body":"[dependencies] セクションはライブラリの依存関係です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [dependencies] セクション","id":"140","title":"[dependencies] セクション"},"141":{"body":"他の Veryl プロジェクトへの依存関係をプロジェクトに追加したい場合、Veryl.toml に [dependencies] セクションを追加します。エントリの左辺は依存関係へのパス、右辺はバージョンです。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" デフォルトでは依存関係の名前空間はそのプロジェクト名と同じです。もし名前空間を指定したい場合は、 name フィールドを使います。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} 同じ依存関係の複数のバージョンを使う場合は、以下のようにそれぞれの名前を指定できます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » 依存関係 » 依存関係","id":"141","title":"依存関係"},"142":{"body":"Veryl.toml に依存関係を追加したあとは、その依存関係の module、interface、packageを使うことができます。以下は veryl_sample の依存関係に含まれる delay モジュールを使った例です。 module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} 注:上記のコードのプレイボタンの結果は依存関係解決を行わないので正確ではありません。実際のモジュール名は veryl_samlle_delay になります。","breadcrumbs":"Development Environment » 依存関係 » 依存関係の使用","id":"142","title":"依存関係の使用"},"143":{"body":"[dependencies] セクションの version フィールドはバージョン要求を示します。例えば、version = \"0.1.0\" は 0.1.0 と互換性のある最新バージョンを意味します。互換性は セマンティックバージョニング で判定されます。バージョンは以下の3つの部分からなります。 メジャー バージョンはAPI非互換な変更 マイナー バージョンは互換性のある機能追加 パッチ バージョンは互換性のあるバグ修正 もし メジャー バージョンが 0 なら、マイナー が非互換変更と解釈されます。 バージョン 0.1.0、0.1.1、0.2.0があった場合、0.1.1 が選択されます。これは以下のように決定されます。 0.1.0 は 0.1.0 と互換性がある 0.1.1 は 0.1.0 と互換性がある 0.2.0 は 0.1.0 と互換性がない 0.1.1 は互換性のある最新バージョン version フィールドは =0.1.0 のような指定も可能です。詳細は Rust のバージョン要求についてのドキュメントを参照してください。 Specifying Dependencies .","breadcrumbs":"Development Environment » 依存関係 » バージョン要求","id":"143","title":"バージョン要求"},"144":{"body":"プロジェクトを公開するには veryl publish コマンドを使います。公開とはバージョン番号とgitのリビジョンを紐づけることです。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish は以下のように公開されたバージョンの情報を含んだ Veryl.pub というファイルを生成します。 [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" Veryl.pub と生成した後、gitのadd、commit、pushを行えば公開手続きは完了です。gitブランチはデフォルトブランチでなければなりません。これは Veryl が Veryl.pub をデフォルトブランチから探すためです。 $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push Veryl.toml の [publish] セクションに publish_commit を設定して自動コミットを有効にすれば、gitのaddとcommitが自動で実行されます。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » プロジェクトを公開する","id":"144","title":"プロジェクトを公開する"},"145":{"body":"--bump オプションを使うと公開と同時にバージョンを上げることもできます。公開と同様に、Veryl.toml の[publish] セクションに bump_commit を設定すれば自動でcommitされます。 $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » バージョンを上げる","id":"145","title":"バージョンを上げる"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" 設定 設定値 デフォルト 説明 bump_commit ブーリアン false バージョンアップ後の自動コミット publish_commit ブーリアン false 公開後の自動コミット bump_commit_mesasge 文字列 \"chore: Bump version\" バージョンアップ後のコミットメッセージ publish_commit_mesasge 文字列 \"chore: Publish\" 公開後のコミットメッセージ","breadcrumbs":"Development Environment » プロジェクトを公開する » 設定","id":"146","title":"設定"},"147":{"body":"Veryl は任意のディレクトリ構成をサポートしています。これは独立したプロジェクトと他のプロジェクトに組み込まれたプロジェクトでは最適なディレクトリ構成が異なるためです。 この節ではいくつかのディレクトリ構成パターンを示します。","breadcrumbs":"Development Environment » ディレクトリ構成 » ディレクトリ構成","id":"147","title":"ディレクトリ構成"},"148":{"body":"このパターンでは全てのソースコードは src ディレクトリに配置されます。src 以下のサブディレクトリの構成は自由です。 $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl は全ての *.veryl ファイルを収集し、デフォルトではソースと同じディレクトリにコードを生成します。この挙動は以下の設定で明示することもできます。 [build]\ntarget = \"source\" veryl build を実行するとディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースディレクトリ","id":"148","title":"単一のソースディレクトリ"},"149":{"body":"生成されたコードを1つのディレクトリに入れたい場合、Veryl.toml の [build] セクションで target を以下のように設定します。 [build]\ntarget = {type = \"directory\", path = \"target\"} ディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースとターゲットディレクトリ","id":"149","title":"単一のソースとターゲットディレクトリ"},"15":{"body":"ビット連結における繰り返し記述として明示的な repeat 記法を採用し、 複雑な {} の組み合わせより可読性が向上しています。 SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"特徴 » ビット連結における repeat","id":"15","title":"ビット連結における repeat"},"150":{"body":"既存の SystemVerilog プロジェクトに Veryl のプロジェクトを組み込む場合、以下のような構成にすることもできます。 $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files 生成された prj.f は生成されたソースコードを全てリストアップしているので、既存の SystemVerilog ファイルリストと一緒に使うことができます。","breadcrumbs":"Development Environment » ディレクトリ構成 » マルチソースディレクトリ","id":"150","title":"マルチソースディレクトリ"},"151":{"body":"Veryl はデフォルトの .gitignore を提供しません。これはプロジェクト毎にどのファイルを無視する必要があるかが変わるためです。 .gitignore の候補としては以下が考えられます。 dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » ディレクトリ構成 » .gitignore について","id":"151","title":".gitignore について"},"152":{"body":"veryl fmt コマンドでソースコードをフォーマットできます。あるいは言語サーバの textDocument/formatting 要求によるフォーマットにも対応しています。 設定可能な項目は以下の通りです。これは Veryl.toml の [format] セクションで指定できます。 [format]\nindent_width = 4 設定 設定値 説明 indent_width 整数 インデントのスペース幅","breadcrumbs":"Development Environment » フォーマッタ » フォーマッタ","id":"152","title":"フォーマッタ"},"153":{"body":"veryl check あるいは veryl build でリントチェックができます。あるいは言語サーバはリアルタイムでのチェックを行います。 設定可能な項目は以下の通りです。これは Veryl.toml の [lint] セクションで指定できます。 [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » リンタ » リンタ","id":"153","title":"リンタ"},"154":{"body":"このセクションは命名規則の設定です。 設定 設定値 説明 case_enum ケースタイプ [1] enum のケーススタイル case_function ケースタイプ [1] function のケーススタイル case_instance ケースタイプ [1] インスタンスのケーススタイル case_interface ケースタイプ [1] interface のケーススタイル case_modport ケースタイプ [1] modport のケーススタイル case_module ケースタイプ [1] module のケーススタイル case_package ケースタイプ [1] package のケーススタイル case_parameter ケースタイプ [1] parameter のケーススタイル case_port_inout ケースタイプ [1] inout ポートのケーススタイル case_port_input ケースタイプ [1] input ポートのケーススタイル case_port_modport ケースタイプ [1] modport ポートのケーススタイル case_port_output ケースタイプ [1] output ポートのケーススタイル case_reg ケースタイプ [1] レジスタ変数 [2] のケーススタイル case_struct ケースタイプ [1] struct のケーススタイル case_wire ケースタイプ [1] ワイヤ変数 [3] のケーススタイル prefix_enum 文字列 enum のプレフィックス prefix_function 文字列 function のプレフィックス prefix_instance 文字列 インスタンスのプレフィックス prefix_interface 文字列 interface のプレフィックス prefix_modport 文字列 modport のプレフィックス prefix_module 文字列 module のプレフィックス prefix_package 文字列 package のプレフィックス prefix_parameter 文字列 parameter のプレフィックス prefix_port_inout 文字列 inout ポートのプレフィックス prefix_port_input 文字列 input ポートのプレフィックス prefix_port_modport 文字列 modport ポートのプレフィックス prefix_port_output 文字列 output ポートのプレフィックス prefix_reg 文字列 レジスタ変数 [2] のプレフィックス prefix_struct 文字列 struct のプレフィックス prefix_wire 文字列 ワイヤ変数 [3] のプレフィックス re_forbidden_enum 正規表現 [4] enum の禁止正規表現 re_forbidden_function 正規表現 [4] function の禁止正規表現 re_forbidden_instance 正規表現 [4] インスタンスの禁止正規表現 re_forbidden_interface 正規表現 [4] interface の禁止正規表現 re_forbidden_modport 正規表現 [4] modport の禁止正規表現 re_forbidden_module 正規表現 [4] module の禁止正規表現 re_forbidden_package 正規表現 [4] package の禁止正規表現 re_forbidden_parameter 正規表現 [4] parameter の禁止正規表現 re_forbidden_port_inout 正規表現 [4] inout ポートの禁止正規表現 re_forbidden_port_input 正規表現 [4] input ポートの禁止正規表現 re_forbidden_port_modport 正規表現 [4] modport ポートの禁止正規表現 re_forbidden_port_output 正規表現 [4] output ポートの禁止正規表現 re_forbidden_reg 正規表現 [4] レジスタ変数 [2] の禁止正規表現 re_forbidden_struct 正規表現 [4] struct の禁止正規表現 re_forbidden_wire 正規表現 [4] ワイヤ変数 [3] の禁止正規表現 re_required_enum 正規表現 [4] enum の必須正規表現 re_required_function 正規表現 [4] function の必須正規表現 re_required_instance 正規表現 [4] インスタンスの必須正規表現 re_required_interface 正規表現 [4] interface の必須正規表現 re_required_modport 正規表現 [4] modport の必須正規表現 re_required_module 正規表現 [4] module の必須正規表現 re_required_package 正規表現 [4] package の必須正規表現 re_required_parameter 正規表現 [4] parameter の必須正規表現 re_required_port_inout 正規表現 [4] inout ポートの必須正規表現 re_required_port_input 正規表現 [4] input ポートの必須正規表現 re_required_port_modport 正規表現 [4] modport ポートの必須正規表現 re_required_port_output 正規表現 [4] output ポートの必須正規表現 re_required_reg 正規表現 [4] レジスタ変数 [2] の必須正規表現 re_required_struct 正規表現 [4] struct の必須正規表現 re_required_wire 正規表現 [4] ワイヤ変数 [3] の必須正規表現 設定可能な値は以下です。 \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase \".*\"のような正規表現です。使用可能な構文は こちら . レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 ワイヤ変数とは always_comb で代入される変数です。合成フェーズでワイヤにマップされます。","breadcrumbs":"Development Environment » リンタ » [lint.naming] セクション","id":"154","title":"[lint.naming] セクション"},"155":{"body":"RTLシミュレータによるテストは veryl test で実行することができます。サポートされているシミュレータは以下の通りです。 Verilator Synopsys VCS AMD Vivado Simulator Verilatorはデフォルトのシミュレータです。Veryl.tomlやコマンドラインオプションでシミュレータが指定されていない場合に使用されます。 設定可能な項目は以下の通りです。これは Veryl.toml の [test] セクションで指定できます。 [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » シミュレータ » シミュレータ","id":"155","title":"シミュレータ"},"156":{"body":"このセクションはテストの設定です。 設定 設定値 説明 simulator シミュレータ名 [1] デフォルトのシミュレータ 設定可能な値は以下です。 \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » シミュレータ » [test] セクション","id":"156","title":"[test] セクション"},"157":{"body":"このセクションはVerilatorによるテストの設定です。 設定 設定値 説明 compile_args [文字列] verilator コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.verilator] セクション","id":"157","title":"[test.verilator] セクション"},"158":{"body":"このセクションはVCSによるテストの設定です。 設定 設定値 説明 compile_args [文字列] vcs コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vcs] セクション","id":"158","title":"[test.vcs] セクション"},"159":{"body":"このセクションはVivadoによるテストの設定です。 設定 設定値 説明 compile_args [文字列] xvlog コマンドへの追加の引数 elaborate_args [文字列] xelab コマンドへの追加の引数 simulate_args [文字列] xsim コマンドへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vivado] セクション","id":"159","title":"[test.vivado] セクション"},"16":{"body":"三項演算子の代わりに if 式と case 式を採用することで、比較するアイテム数が多い場合の可読性が向上します。 SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"特徴 » if / case 式","id":"16","title":"if / case 式"},"160":{"body":"veryl-ls は言語サーバのバイナリです。使用するにはエディタの設定やプラグインが必要です。 設定可能な項目は以下の通りです。これは各エディタの設定から指定できます。 設定 設定値 デフォルト 説明 useOperatorCompletion ブーリアン false 演算子(例 '>:', '>>')の補完を有効にする","breadcrumbs":"Development Environment » 言語サーバ » 言語サーバ","id":"160","title":"言語サーバ"},"161":{"body":"いくつかのツールはサポートしていない SystemVerilog 構文があります。これをサポートするために、 Veryl.toml の設定でコード生成をカスタマイズすることができます。","breadcrumbs":"Development Environment » 互換性 » 互換性","id":"161","title":"互換性"},"162":{"body":"","breadcrumbs":"Development Environment » 互換性 » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivadoは string 型の parameter をサポートしていません。 parameter string a = \"A\"; その場合は implicit_parameter_types を設定してください。 [build]\nimplicit_parameter_types = [\"string\"] 設定すると生成コードは以下のようになります。 parameter a = \"A\";","breadcrumbs":"Development Environment » 互換性 » 文字列パラメータ","id":"163","title":"文字列パラメータ"},"164":{"body":"プロジェクトのドキュメントは veryl doc コマンドで生成することができます。全てのパブリックなモジュールとインターフェース、パッケージがリストアップされます。(参照 可視性 ) 詳細な説明を書きたい場合はドキュメンテーションコメントを追加することもできます。ドキュメンテーションコメントでは マークダウン 記法を使えます。 WaveDrom による波形記述もサポートされています。wavedrom コードブロック内で、WaveDromの構文を記述することができます。構文の詳細は チュートリアル を参照してください。 /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} 設定可能な項目は以下の通りです。これは Veryl.toml の [doc] セクションで指定できます。 [doc]\npath = \"document\" 設定 設定値 デフォルト 説明 path 文字列 \"doc\" 出力ディレクトリへのパス","breadcrumbs":"Development Environment » ドキュメンテーション » ドキュメンテーション","id":"164","title":"ドキュメンテーション"},"165":{"body":"ビルド済みのVerylバイナリをダウンロードするための公式GitHub actionが提供されています。 https://github.com/marketplace/actions/setup-veryl GitHub actionスクリプトの例は以下の通りです。 フォーマットとビルドチェック name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check GitHub Pagesからドキュメントを公開する name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Verilator によるテスト このために GitHub action veryl-lang/setup-verilator を公開しています。 name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"補遺 » 補遺","id":"166","title":"補遺"},"167":{"body":"Veryl のパーサはパーサジェネレータ parol を使っています。以下の parol の構文定義が正式な構文です。 %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » 構文 » 構文","id":"167","title":"構文"},"168":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » セマンティックエラー","id":"168","title":"セマンティックエラー"},"169":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"閉区間 ..= と半開区間 .. を表す記法を導入し、 for 、inside で範囲を統一的に記述できるようにしました。また、inside の逆を意味する outside も導入しました。 SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"特徴 » 範囲 for / inside / outside","id":"17","title":"範囲 for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"最上位ビットを示す msb 記法により、パラメータから最上位ビットを計算する必要がなくなり、より意図を明確にすることができます。 SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"特徴 » msb 記法","id":"18","title":"msb 記法"},"180":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"変数宣言と同時に値を束縛する専用の let 文が用意されており、SystemVerilogではサポートされていなかった様々な場所で使用することができます。 SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"特徴 » let 文","id":"19","title":"let 文"},"190":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"VerylはSystemVerilogとの相互運用性を考慮して設計されており、既存のSystemVerilogコンポーネントやプロジェクトとの組み合わせや部分的な置き換えをスムーズに行うことができます。さらに、VerylからトランスパイルされたSystemVerilogソースコードは、その高い可読性により、シームレスな統合やデバッグを可能にします。","breadcrumbs":"概要 » 相互運用性","id":"2","title":"相互運用性"},"20":{"body":"変数のスコープを限定するための名前付きブロックを定義することができます。 SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"特徴 » 名前付きブロック","id":"20","title":"名前付きブロック"},"21":{"body":"pub キーワードの付かないモジュールはプロジェクト外から参照できず、ドキュメントの自動生成にも含まれません。これによりプロジェクト外に公開したいものと内部実装とを区別することができます。 SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} いくつかのブラウザはデフォルトでGIF動画の再生を停止しています。ブラウザの設定を確認してください。","breadcrumbs":"特徴 » 可視性制御","id":"21","title":"可視性制御"},"22":{"body":"Veryl を使ってみましょう。この章では Veryl のインストール、サンプルプロジェクトの作成とビルドまでを行います。","breadcrumbs":"はじめに » はじめに","id":"22","title":"はじめに"},"23":{"body":"Veryl バイナリをダウンロードしてインストールできます。もし Rust ユーザであれば cargo コマンドでインストールすることもできます。","breadcrumbs":"Getting Started » インストール » インストール","id":"23","title":"インストール"},"24":{"body":"Veryl は git コマンドを内部で使用します。 git が起動できることを確認しておいてください。","breadcrumbs":"Getting Started » インストール » 要件","id":"24","title":"要件"},"25":{"body":"","breadcrumbs":"Getting Started » インストール » インストール方法の選択","id":"25","title":"インストール方法の選択"},"26":{"body":"リリースページ からダウンロードして、パスの通ったところに展開してください。","breadcrumbs":"Getting Started » インストール » バイナリのダウンロード","id":"26","title":"バイナリのダウンロード"},"27":{"body":"cargo コマンドからインストールすることもできます。 cargo install veryl veryl-ls","breadcrumbs":"Getting Started » インストール » Cargo","id":"27","title":"Cargo"},"28":{"body":"公式には Visual Studio Code と Vim / Neovim がサポートされています。","breadcrumbs":"Getting Started » インストール » エディタ設定","id":"28","title":"エディタ設定"},"29":{"body":"Visual Studio Code 向けに Veryl 拡張が提供されています。拡張はファイルタイプの検出とシンタックスハイライト、言語サーバの組み込みを提供します。拡張パネルから \"Veryl\" で検索するか、以下の URL からインストールしてください。 Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » インストール » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Verylはパッケージマネージャ、ビルドツール、そしてVSCode、Vim、Emacsなどの主要なエディタに対応するリアルタイムチェッカー、自動補完機能、自動フォーマッタなど、豊富な開発支援ツールを備えています。これらのツールは、開発プロセスを加速し、生産性を大幅に向上させることができます。 これらの特性により、Verylは設計者が高品質なハードウェア設計をより効率的かつ生産的に行うための強力なサポートを提供します。","breadcrumbs":"概要 » 生産性","id":"3","title":"生産性"},"30":{"body":"Vim / Neovim 向けに Veryl プラグインが提供されています。プラグインはファイルタイプの検出とシンタックスハイライトを提供します。プラグインのインストールと言語サーバの組み込みは以下の URL を参照してください。 Vim / Neovim plugin","breadcrumbs":"Getting Started » インストール » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl は言語サーバを提供しているので、言語サーバをサポートしているエディタ(例えば Emacs)であれば利用できます。","breadcrumbs":"Getting Started » インストール » そのほかのエディタ","id":"31","title":"そのほかのエディタ"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"まず始めに、新しい Veryl プロジェクトを作りましょう。 veryl new hello コマンドを実行すると、以下のようなディレクトリとファイルが作成されます。 $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml はプロジェクトの設定ファイルです。 [project]\nname = \"hello\"\nversion = \"0.1.0\" 全設定の説明は こちら 。","breadcrumbs":"Getting Started » Hello, World! » プロジェクトを作る","id":"33","title":"プロジェクトを作る"},"34":{"body":"ソースコードはプロジェクトディレクトリ内のどこに書いても構いません。これは Veryl プロジェクトが独立したプロジェクトである場合もあれば、他のSystemVerilog プロジェクトに組み込まれている場合もあるからです。Veryl のソースコードの拡張子は .veryl です。 例えば以下のコードを src/hello.veryl に書いてみましょう。 module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files 注:この本のいくつかのソースコードには、マウスをホバーすると現れるプレイボタン \"▶\" があります。ボタンをクリックすると、トランスパイルされた SystemVerilog のコードが現れます。module ModuleA のコードのボタンを押してみましょう。","breadcrumbs":"Getting Started » Hello, World! » コードを書く","id":"34","title":"コードを書く"},"35":{"body":"veryl build コマンドで SystemVerilog のソースコードを生成できます。 $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files デフォルトでは SystemVerilog のコードは Veryl のコードと同じディレクトリに生成されます。つまり src/hello.sv です。 module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule さらに、生成されたコードのファイルリスト hello.f も生成されます。これは SystemVerilog コンパイラで使用できます。 Verilator で使用するには以下のようにします。 $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » ビルドする","id":"35","title":"ビルドする"},"36":{"body":"Veryl は SystemVerilog とほとんど同じセマンティクスを持っています。もし SystemVerilog に慣れていれば、いくつかの例をみるだけで Veryl の構文をだいたい把握できるでしょう。 この小さな例では、コメントに SystemVerilog 構文との違いが書かれています。 module ModuleA ( // 識別子が先で `:` の後に型が来ます // ビット幅は `<>` で表されます i_data: input logic<10>, o_data: output logic<10>, // `begin`/`end` ではなく `{}` を使います\n) { assign o_data = i_data;\n} さらに、この章のコードブロックは編集することもできます。それぞれのコードを編集して実行してみましょう。 Veryl のソースコードは SystemVerilog と同様に、module、interface、package を持ちます。この章ではそれらの例を示します。","breadcrumbs":"コード例 » コード例","id":"36","title":"コード例"},"37":{"body":"// モジュール定義\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // 末尾カンマが可能です\n) ( i_clk : input clock , // `clock` はクロックのための特別な型です i_rst : input reset , // `reset` はリセットのための特別な型です i_sel : input logic , i_data: input logic [2], // `[]` は SystemVerilog のアンパック配列です o_data: output logic , // `<>` は SystemVerilog のパック配列です\n) { // ローカルパラメータ宣言 // モジュール内では `param` は使えません local ParamC: u32 = 10; // 変数宣言 var r_data0: logic; var r_data1: logic; // 値の束縛 let _w_data2: logic = i_data; // リセット付き always_ff 文 // `always_ff` はクロック(必須)とリセット(オプション)を持ちます // `if_reset` は `if (i_rst)` を意味し、リセット極性を隠蔽するための構文です // `if` 文に `()` はいりません // `always_ff` 内の `=` はノンブロッキング代入です always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // リセットなし always_ff 文 always_ff (i_clk) { r_data1 = r_data0; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » モジュール » モジュール","id":"37","title":"モジュール"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // インスタンス宣言 // インスタンス宣言は `inst` キーワードではじまります // ポート接続は `()` 内で指定します // 各ポートの接続は `[port_name]:[variable]` のような形式になります // `[port_name]` は `[port_name]:[port_name]` を意味します inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // パラメータオーバーライド付きインスタンス宣言 // パラメータの接続記法はポートと同様です inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » インスタンス » インスタンス","id":"38","title":"インスタンス"},"39":{"body":"// インターフェース定義\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport 定義 modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // modport によるポート宣言 intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // インターフェースのインスタンス inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » インターフェース » インターフェース","id":"39","title":"インターフェース"},"4":{"body":"この章ではVerylの特徴的な機能をわかりやすい例とともに紹介します。 リアルタイム診断 自動フォーマット 組み込みテスト 依存関係管理 ジェネリクス 末尾カンマ クロックとリセットの抽象化 ドキュメンテーションコメント always_ff での複合代入演算子 独立した名前空間を持つenumバリアント ビット連結における repeat if / case 式 範囲 for / inside / outside msb 記法 let 文 名前付きブロック 可視性制御","breadcrumbs":"特徴 » 特徴","id":"4","title":"特徴"},"40":{"body":"// パッケージ定義\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » パッケージ » パッケージ","id":"40","title":"パッケージ"},"41":{"body":"この章では Veryl の言語仕様について説明します。","breadcrumbs":"言語リファレンス » 言語リファレンス","id":"41","title":"言語リファレンス"},"42":{"body":"Veryl のソースコードはいくつかの module、interface、package からなります。 module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} トランスパイルされたコードにおける module、interface、package の名前には先頭にプロジェクト名が付きます。このサンプルコードでは project_ が付きます。これはプロジェクト間で名前が衝突するのを防ぐためです。","breadcrumbs":"Language Reference » ソースコードの構造 » ソースコードの構造","id":"42","title":"ソースコードの構造"},"43":{"body":"この章では Veryl の字句構造について説明します。まず始めに、全体的なことがらからです。","breadcrumbs":"Language Reference » 字句構造 » 字句構造","id":"43","title":"字句構造"},"44":{"body":"Veryl のソースコードは UTF-8 エンコーディングでなければなりません。","breadcrumbs":"Language Reference » 字句構造 » エンコーディング","id":"44","title":"エンコーディング"},"45":{"body":"(空白)、\\t、\\n は空白として扱われ、Veryl のパーサはこれらを全て無視します。","breadcrumbs":"Language Reference » 字句構造 » 空白","id":"45","title":"空白"},"46":{"body":"行コメントと複数行コメントが使えます。ほとんどのコメントはトランスパイルされたコードにも出力されます。 // 行コメント /*\n複数 行 コメント\n*/","breadcrumbs":"Language Reference » 字句構造 » コメント","id":"46","title":"コメント"},"47":{"body":"/// ではじまる行コメントはドキュメンテーションコメントとして扱われます。ドキュメンテーションコメントはドキュメントの生成に使われます。 /// ドキュメンテーションコメント","breadcrumbs":"Language Reference » 字句構造 » ドキュメンテーションコメント","id":"47","title":"ドキュメンテーションコメント"},"48":{"body":"識別子は ASCII のアルファベットと数値、 _ からなります。先頭が数値であってはなりません。正式な定義は以下の正規表現です。 [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » 字句構造 » 識別子","id":"48","title":"識別子"},"49":{"body":"\" で囲んだものが文字列になります。\\\" や \\n のように \\ によるエスケープも可能です。 \"Hello, World!\"","breadcrumbs":"Language Reference » 字句構造 » 文字列","id":"49","title":"文字列"},"5":{"body":"変数の未定義・未使用・未代入といった問題はエディタでの編集中にリアルタイムに通知されます。次の例では、未使用変数として通知された変数に _ プレフィックスを付加することで未使用であることを明示し、警告を抑制しています。 diagnostics ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » リアルタイム診断","id":"5","title":"リアルタイム診断"},"50":{"body":"ほとんどの演算子は SystemVerilog と同じです。いくつか違いがあるので注意してください。 <: 小なり演算子です。SystemVerilog の < と同じです。 >: 大なり演算子です。SystemVerilog の > と同じです。 // 単項算術演算\na = +1;\na = -1; // 単項論理演算\na = !1;\na = ~1; // 単項集約演算\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // 二項算術演算\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // シフト演算\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // 比較演算\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // ビット演算\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // 二項論理演算\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » 演算子 » 演算子","id":"50","title":"演算子"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 数値","id":"51","title":"数値"},"52":{"body":"// 整数\n0123456789\n01_23_45_67_89 // 2進数\n32'b01xzXZ\n32'b01_xz_XZ // 8進数\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // 10進数\n32'd0123456789\n32'd01_23_45_67_89 // 16進数\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 整数","id":"52","title":"整数"},"53":{"body":"// 全て 0\n'0 // 全て 1\n'1 // 全て x\n'x\n'X // 全て z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 全ビットのセット","id":"53","title":"全ビットのセット"},"54":{"body":"ビット幅指定は省略することができます。省略された場合、トランスパイルされたコードでは適切なビット幅が付与されます。 module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 幅なし整数","id":"54","title":"幅なし整数"},"55":{"body":"\"全ビットのセット\" にビット幅指定を付与することもできます。 module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 指定ビットのセット","id":"55","title":"指定ビットのセット"},"56":{"body":"// 浮動小数点数\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // 指数表記\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 浮動小数点数","id":"56","title":"浮動小数点数"},"57":{"body":"'{} は配列リテラルを表します。リテラル内には式、repeat キーワード、default キーワードを配置することができます。 module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » 配列リテラル » 配列リテラル","id":"57","title":"配列リテラル"},"58":{"body":"この章ではデータ型について説明します。","breadcrumbs":"Language Reference » データ型 » データ型","id":"58","title":"データ型"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 組み込み型","id":"59","title":"組み込み型"},"6":{"body":"エディタと連携した自動フォーマット機能のほか、コマンドラインでのフォーマットやCIでのフォーマットチェックも可能です。 format ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » 自動フォーマット","id":"6","title":"自動フォーマット"},"60":{"body":"logic は4値(0、1、x、z)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な4値データ型","id":"60","title":"幅指定可能な4値データ型"},"61":{"body":"bit は2値(0、1)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な2値データ型","id":"61","title":"幅指定可能な2値データ型"},"62":{"body":"整数型にはいくつかの種類があります。 u32:32ビットの符号なし整数 u64:64ビットの符号なし整数 i32:32ビットの符号付き整数 i64:64ビットの符号付き整数 module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 整数型","id":"62","title":"整数型"},"63":{"body":"浮動小数点数型にもいくつかの種類があります。 f32:32ビット浮動小数点数 f64:64ビット浮動小数点数 いずれも IEEE Std 754 準拠の表現です。 module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 浮動小数点数型","id":"63","title":"浮動小数点数型"},"64":{"body":"string は文字列を表す型です。 module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 文字列型","id":"64","title":"文字列型"},"65":{"body":"type は型の種類を表す型です。type 型の変数は param か local としてのみ定義可能です。 module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » Type型","id":"65","title":"Type型"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユーザ定義型","id":"66","title":"ユーザ定義型"},"67":{"body":"struct は複合データ型です。いくつかのフィールドを持つことができ、. 演算子を通してアクセスできます。 module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 構造体","id":"67","title":"構造体"},"68":{"body":"enum は列挙型です。名前の付いたバリアントを複数持ち、enum 型の変数にはそのバリアントのうち1つだけをセットできます。バリアント名は [enum name]::[variant name] の形式で指定可能です。それぞれのバリアントは対応する整数値を持ち、= で指定することができます。指定されなかった場合は自動的に割り当てられます。 module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 列挙型","id":"68","title":"列挙型"},"69":{"body":"union はパックされたタグなしの直和型で、SystemVerilog では packed union にトランスパイルされます。ユニオンのそれぞれのバリアントの幅は同じでなければなりません。 module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユニオン","id":"69","title":"ユニオン"},"7":{"body":"SystemVerilogで書かれたテストコードをVerylに埋め込み、veryl test コマンドで実行することができます。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"特徴 » 組み込みテスト","id":"7","title":"組み込みテスト"},"70":{"body":"type キーワードを使って、スカラー型や配列型への型エイリアスを定義することができます。 module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 型定義","id":"70","title":"型定義"},"71":{"body":"任意のデータ型に対して [] と付与することで配列を定義することができます。配列の長さは [] 内の値で指定します。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} [X, Y, Z,,,] のように多次元配列も定義できます。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » 配列 » 配列","id":"71","title":"配列"},"72":{"body":"clock はクロック配線を表す特別な型です。クロックの極性を指定するため以下の3種類があります。 clock: ビルド時の設定で指定される極性を持つクロック型 clock_posedge: 正極性のクロック型 clock_negedge: 負極性のクロック型 reset はリセット配線を表す特別な型です。リセットの極性と同期・非同期を指定するため以下の5種類があります。 reset: ビルド時の設定で指定される極性と同期性を持つリセット型 reset_async_high: 正極性の非同期リセット型 reset_async_low: 負極性の非同期リセット型 reset_sync_high: 正極性の同期リセット型 reset_sync_low: 負極性の同期リセット型 特別な要件がなければ、コードの再利用を高めるため clock と reset の使用を推奨します。 module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » クロックとリセット » クロックとリセット","id":"72","title":"クロックとリセット"},"73":{"body":"この章では式について説明します。式は変数や演算子、関数呼び出しなどを組み合わせたもので、評価して値を得ることができます。","breadcrumbs":"Language Reference » 式 » 式","id":"73","title":"式"},"74":{"body":"式内での演算子の優先順位は SystemVerilog とほとんど同じです。 演算子 結合性 優先順位 () [] :: . 左 高い + - ! ~ & ~& | ~| ^ ~^ ^~ (単項) 左 ** 左 * / % 左 + - (二項) 左 << >> <<< >>> 左 <: <= >: >= 左 == != === !== ==? !=? 左 & (二項) 左 ^ ~^ ^~ (二項) 左 |(二項) 左 && 左 || 左 = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= なし {} なし 低い","breadcrumbs":"Language Reference » Expression » 演算子の優先順位 » 演算子の優先順位","id":"74","title":"演算子の優先順位"},"75":{"body":"関数は function_name(argument) の形式で呼び出すことができます。$clog2 のような SystemVerilog のシステム関数も使えます。 module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » 関数呼び出し » 関数呼び出し","id":"75","title":"関数呼び出し"},"76":{"body":"{} はビット連結を表します。{} の中では repeat キーワードを使うことで指定されたオペランドを繰り返すこともできます。 module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » 連結 » 連結","id":"76","title":"連結"},"77":{"body":"if を用いた条件式を使えます。if キーワードの後に条件を示す節を置きますが、() で囲む必要はありません。if 式は常になんらかの値に評価される必要があるため else は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » if » if","id":"77","title":"if"},"78":{"body":"もう一つの条件式が case です。case は 式: 式 という形式の条件を複数持ちます。もし case キーワードの後の式と条件の左側の式が一致すれば、その条件の右側の式が返されます。default はそれ以外の条件が全て失敗したときに返される特別な条件です。case 式は常になんらかの値に評価される必要があるため default は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » case » case","id":"78","title":"case"},"79":{"body":"[] はビット選択演算子です。[] に式を指定すれば1ビットを選択できます。範囲選択する場合は [式:式] とします。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » ビット選択 » ビット選択","id":"79","title":"ビット選択"},"8":{"body":"Verylには依存関係の管理機能が組み込まれており、プロジェクト設定に以下のようにライブラリのリポジトリパスとバージョンを追加するだけで、簡単にライブラリを組み込むことができます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"特徴 » 依存関係管理","id":"8","title":"依存関係管理"},"80":{"body":"範囲は範囲演算子で指定できます。範囲演算子には以下の2種類があります。 ..:半開区間 ..=:閉区間 範囲は for 文などの場所で使うことができます。 module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » 範囲 » 範囲","id":"80","title":"範囲"},"81":{"body":"msb と lsb は [] によるビット選択で使用できます。msb はオペランドの最上位ビットを意味します。lsb はオペランドの最下位ビットを意味し、0と同じです。 module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » msb / lsb » msb / lsb","id":"81","title":"msb / lsb"},"82":{"body":"inside は 指定された式が {} で与えられた条件内にあるかどうかを調べます。条件は単一の式または 範囲 を指定できます。条件を満たすとき inside は 1 を、そうでなければ 0 を返します。outside はその逆です。 module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » inside / outside » inside / outside","id":"82","title":"inside / outside"},"83":{"body":"この章では文について説明します。文は always_ff や always_comb などいくつかの宣言で使用することができます。","breadcrumbs":"Language Reference » 文 » 文","id":"83","title":"文"},"84":{"body":"代入文は 変数 = 式; の形式です。SystemVerilog と異なり、always_comb でも always_ff でも代入演算子は = です。以下のような代入演算子もあります。 +=:加算代入 -=:減算代入 *=:乗算代入 /=:除算代入 %=:剰余代入 &=:ビットAND代入 |=:ビットOR代入 ^=:ビットXOR代入 <<=:論理左シフト代入 >>=:論理右シフト代入 <<<=:算術左シフト代入 >>>=:算術右シフト代入 module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » 代入 » 代入","id":"84","title":"代入"},"85":{"body":"関数呼び出しは文として使うこともできます。この場合、関数の戻り値は無視されます。 module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » 関数呼び出し » 関数呼び出し","id":"85","title":"関数呼び出し"},"86":{"body":"if は文として使うこともできます。if 式との違いは {} 内に文を書くことです。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » if » if","id":"86","title":"if"},"87":{"body":"case は文として使うこともできます。条件の右側は文になります。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » case » case","id":"87","title":"case"},"88":{"body":"for 文は繰り返しを表します。in キーワードの前にループ変数を、後に 範囲 を書きます。 break を使ってループを中断することもできます。 module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » for » for","id":"88","title":"for"},"89":{"body":"return 文は関数からの戻りを示します。return キーワードの後の式は関数の戻り値です。 module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » return » return","id":"89","title":"return"},"9":{"body":"ジェネリクスによるコード生成は従来のパラメータオーバーライドよりさらに再利用性の高いコードを記述することができます。以下の例のような関数のパラメータだけでなく、インスタンスのモジュール名や構造体定義の型名もパラメータ化することができます。 SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"特徴 » ジェネリクス","id":"9","title":"ジェネリクス"},"90":{"body":"let 文はある名前に値を束縛します。これは always_ff 、 always_comb および関数宣言の中で使うことができます。 let 文はブロック中のどこにでも置くことができます。 module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » let » let","id":"90","title":"let"},"91":{"body":"この章では宣言について説明します。","breadcrumbs":"Language Reference » 宣言 » 宣言","id":"91","title":"宣言"},"92":{"body":"変数宣言は var キーワードで始まり、変数名、:、変数の型と続きます。 未使用の変数は警告が発生します。_ で始まる変数名は未使用変数を意味し、警告を抑制します。 宣言時に名前に値を束縛する場合は var の代わりに let を使います。 module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » 変数 » 変数","id":"92","title":"変数"},"93":{"body":"パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。 module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » パラメータ » パラメータ","id":"93","title":"パラメータ"},"94":{"body":"レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。 if_reset は always_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml の [build] セクションで設定できます。 module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » レジスタ » レジスタ","id":"94","title":"レジスタ"},"95":{"body":"always_comb で代入される変数は組み合わせ回路を意味します。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » 組み合わせ回路 » 組み合わせ回路","id":"95","title":"組み合わせ回路"},"96":{"body":"assign 宣言で変数に式を代入することができます。 module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » assign » assign","id":"96","title":"assign"},"97":{"body":"関数は function キーワードで宣言できます。引数は () 内に書き、戻り値の型を -> の後に書きます。 関数が戻り値を持たない場合、-> は省略できます。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » 関数 » 関数","id":"97","title":"関数"},"98":{"body":"initial ブロック内の文はシミュレーション開始時に実行され、final は終了時です。どちらも論理合成では無視され、デバッグやアサーションに使うことができます。 module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » initial / final » initial / final","id":"98","title":"initial / final"},"99":{"body":"アトリビュートは変数宣言などいくつかの宣言に注釈を付けることができます。","breadcrumbs":"Language Reference » Declaration » アトリビュート » アトリビュート","id":"99","title":"アトリビュート"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.0},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":1.7320508075688772},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":1.7320508075688772}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":1,"docs":{"164":{"tf":2.23606797749979}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.4142135623730951},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.0},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.0}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.4142135623730951},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.4142135623730951},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.4142135623730951},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":1.7320508075688772}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":4.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.4142135623730951},"155":{"tf":1.7320508075688772},"156":{"tf":1.0},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":6,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"65":{"tf":2.449489742783178},"70":{"tf":2.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.4142135623730951},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":1.7320508075688772},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":27,"docs":{"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":2.23606797749979},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":6,"docs":{"28":{"tf":1.0},"29":{"tf":2.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":15,"docs":{"164":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":14,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"167":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.7320508075688772},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":11,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}},"t":{"df":13,"docs":{"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.449489742783178}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.7320508075688772},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.7320508075688772},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.7320508075688772},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":2.0}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":14,"docs":{"167":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":8,"docs":{"167":{"tf":4.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.7320508075688772},"155":{"tf":1.7320508075688772},"156":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":18,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":2.8284271247461903},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.23606797749979},"71":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.7320508075688772},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":2.0},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"96":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"128":{"tf":1.0}}},"df":0,"docs":{}}}}},"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"140":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"14":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"136":{"tf":1.0}}}},"df":0,"docs":{}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"122":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"121":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"139":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":2,"docs":{"138":{"tf":1.0},"156":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":1,"docs":{"65":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"123":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}} \ No newline at end of file +{"doc_urls":["01_introduction.html#ハードウェア記述言語-veryl","01_introduction.html#最適化された構文","01_introduction.html#相互運用性","01_introduction.html#生産性","02_features.html#特徴","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#はじめに","03_getting_started/01_installation.html#インストール","03_getting_started/01_installation.html#要件","03_getting_started/01_installation.html#インストール方法の選択","03_getting_started/01_installation.html#バイナリのダウンロード","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#エディタ設定","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#そのほかのエディタ","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#プロジェクトを作る","03_getting_started/02_hello_world.html#コードを書く","03_getting_started/02_hello_world.html#ビルドする","04_code_examples.html#コード例","04_code_examples/01_module.html#モジュール","04_code_examples/02_instantiation.html#インスタンス","04_code_examples/03_interface.html#インターフェース","04_code_examples/04_package.html#パッケージ","05_language_reference.html#言語リファレンス","05_language_reference/01_source_code_structure.html#ソースコードの構造","05_language_reference/02_lexical_structure.html#字句構造","05_language_reference/02_lexical_structure.html#エンコーディング","05_language_reference/02_lexical_structure.html#空白","05_language_reference/02_lexical_structure.html#コメント","05_language_reference/02_lexical_structure.html#ドキュメンテーションコメント","05_language_reference/02_lexical_structure.html#識別子","05_language_reference/02_lexical_structure.html#文字列","05_language_reference/02_lexical_structure/01_operator.html#演算子","05_language_reference/02_lexical_structure/02_number.html#数値","05_language_reference/02_lexical_structure/02_number.html#整数","05_language_reference/02_lexical_structure/02_number.html#全ビットのセット","05_language_reference/02_lexical_structure/02_number.html#幅なし整数","05_language_reference/02_lexical_structure/02_number.html#指定ビットのセット","05_language_reference/02_lexical_structure/02_number.html#浮動小数点数","05_language_reference/02_lexical_structure/03_array_literal.html#配列リテラル","05_language_reference/03_data_type.html#データ型","05_language_reference/03_data_type/01_builtin_type.html#組み込み型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な4値データ型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な2値データ型","05_language_reference/03_data_type/01_builtin_type.html#整数型","05_language_reference/03_data_type/01_builtin_type.html#浮動小数点数型","05_language_reference/03_data_type/01_builtin_type.html#文字列型","05_language_reference/03_data_type/01_builtin_type.html#type型","05_language_reference/03_data_type/02_user_defined_type.html#ユーザ定義型","05_language_reference/03_data_type/02_user_defined_type.html#構造体","05_language_reference/03_data_type/02_user_defined_type.html#列挙型","05_language_reference/03_data_type/02_user_defined_type.html#ユニオン","05_language_reference/03_data_type/02_user_defined_type.html#型定義","05_language_reference/03_data_type/03_array.html#配列","05_language_reference/03_data_type/04_clock_reset.html#クロックとリセット","05_language_reference/04_expression.html#式","05_language_reference/04_expression/01_operator_precedence.html#演算子の優先順位","05_language_reference/04_expression/02_function_call.html#関数呼び出し","05_language_reference/04_expression/03_concatenation.html#連結","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#ビット選択","05_language_reference/04_expression/07_range.html#範囲","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#文","05_language_reference/05_statement/01_assignment.html#代入","05_language_reference/05_statement/02_function_call.html#関数呼び出し","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#宣言","05_language_reference/06_declaration/01_variable.html#変数","05_language_reference/06_declaration/02_parameter.html#パラメータ","05_language_reference/06_declaration/03_register.html#レジスタ","05_language_reference/06_declaration/04_combinational.html#組み合わせ回路","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#関数","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#アトリビュート","05_language_reference/06_declaration/08_attribute.html#sv-アトリビュート","05_language_reference/06_declaration/09_generate.html#生成","05_language_reference/06_declaration/10_instantiation.html#インスタンス","05_language_reference/06_declaration/11_named_block.html#名前付きブロック","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#モジュール","05_language_reference/08_interface.html#インターフェース","05_language_reference/09_package.html#パッケージ","05_language_reference/10_systemverilog_interoperation.html#systemverilogとの相互運用","05_language_reference/11_visibility.html#可視性","05_language_reference/12_foreign_language_integration.html#他言語組み込み","05_language_reference/12_foreign_language_integration.html#embed-宣言","05_language_reference/12_foreign_language_integration.html#include-宣言","05_language_reference/13_integrated_test.html#組み込みテスト","05_language_reference/14_generics.html#ジェネリクス","05_language_reference/14_generics.html#ジェネリック関数","05_language_reference/14_generics.html#ジェネリックモジュールインターフェース","05_language_reference/14_generics.html#ジェネリックパッケージ","05_language_reference/14_generics.html#ジェネリック構造体","06_development_environment.html#開発環境","06_development_environment/01_project_configuration.html#プロジェクト設定","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#依存関係","06_development_environment/02_dependencies.html#依存関係の使用","06_development_environment/02_dependencies.html#バージョン要求","06_development_environment/03_publish_project.html#プロジェクトを公開する","06_development_environment/03_publish_project.html#バージョンを上げる","06_development_environment/03_publish_project.html#設定","06_development_environment/04_directory_layout.html#ディレクトリ構成","06_development_environment/04_directory_layout.html#単一のソースディレクトリ","06_development_environment/04_directory_layout.html#単一のソースとターゲットディレクトリ","06_development_environment/04_directory_layout.html#マルチソースディレクトリ","06_development_environment/04_directory_layout.html#gitignore-について","06_development_environment/05_formatter.html#フォーマッタ","06_development_environment/06_linter.html#リンタ","06_development_environment/06_linter.html#lintnaming-セクション","06_development_environment/07_simulator.html#シミュレータ","06_development_environment/07_simulator.html#test-セクション","06_development_environment/07_simulator.html#testverilator-セクション","06_development_environment/07_simulator.html#testvcs-セクション","06_development_environment/07_simulator.html#testvivado-セクション","06_development_environment/08_language_server.html#言語サーバ","06_development_environment/09_compatibility.html#互換性","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#文字列パラメータ","06_development_environment/10_documentation.html#ドキュメンテーション","06_development_environment/11_github_action.html#github-action","07_appendix.html#補遺","07_appendix/01_formal_syntax.html#構文","07_appendix/02_semantic_error.html#セマンティックエラー","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":3,"breadcrumbs":1,"title":1},"1":{"body":0,"breadcrumbs":0,"title":0},"10":{"body":20,"breadcrumbs":0,"title":0},"100":{"body":13,"breadcrumbs":4,"title":1},"101":{"body":14,"breadcrumbs":3,"title":0},"102":{"body":36,"breadcrumbs":3,"title":0},"103":{"body":10,"breadcrumbs":3,"title":0},"104":{"body":35,"breadcrumbs":7,"title":2},"105":{"body":31,"breadcrumbs":2,"title":0},"106":{"body":27,"breadcrumbs":2,"title":0},"107":{"body":7,"breadcrumbs":2,"title":0},"108":{"body":17,"breadcrumbs":4,"title":1},"109":{"body":11,"breadcrumbs":2,"title":0},"11":{"body":35,"breadcrumbs":0,"title":0},"110":{"body":0,"breadcrumbs":2,"title":0},"111":{"body":13,"breadcrumbs":3,"title":1},"112":{"body":4,"breadcrumbs":3,"title":1},"113":{"body":25,"breadcrumbs":2,"title":0},"114":{"body":1,"breadcrumbs":2,"title":0},"115":{"body":15,"breadcrumbs":2,"title":0},"116":{"body":17,"breadcrumbs":2,"title":0},"117":{"body":15,"breadcrumbs":2,"title":0},"118":{"body":27,"breadcrumbs":2,"title":0},"119":{"body":0,"breadcrumbs":0,"title":0},"12":{"body":20,"breadcrumbs":0,"title":0},"120":{"body":21,"breadcrumbs":2,"title":0},"121":{"body":4,"breadcrumbs":3,"title":1},"122":{"body":2,"breadcrumbs":3,"title":1},"123":{"body":4,"breadcrumbs":3,"title":1},"124":{"body":9,"breadcrumbs":3,"title":1},"125":{"body":1,"breadcrumbs":3,"title":1},"126":{"body":10,"breadcrumbs":3,"title":1},"127":{"body":5,"breadcrumbs":3,"title":1},"128":{"body":1,"breadcrumbs":3,"title":1},"129":{"body":3,"breadcrumbs":3,"title":1},"13":{"body":20,"breadcrumbs":1,"title":1},"130":{"body":5,"breadcrumbs":3,"title":1},"131":{"body":5,"breadcrumbs":3,"title":1},"132":{"body":14,"breadcrumbs":3,"title":1},"133":{"body":8,"breadcrumbs":3,"title":1},"134":{"body":6,"breadcrumbs":3,"title":1},"135":{"body":6,"breadcrumbs":3,"title":1},"136":{"body":1,"breadcrumbs":3,"title":1},"137":{"body":1,"breadcrumbs":3,"title":1},"138":{"body":1,"breadcrumbs":3,"title":1},"139":{"body":1,"breadcrumbs":3,"title":1},"14":{"body":20,"breadcrumbs":1,"title":1},"140":{"body":1,"breadcrumbs":3,"title":1},"141":{"body":25,"breadcrumbs":2,"title":0},"142":{"body":26,"breadcrumbs":2,"title":0},"143":{"body":20,"breadcrumbs":2,"title":0},"144":{"body":54,"breadcrumbs":2,"title":0},"145":{"body":39,"breadcrumbs":2,"title":0},"146":{"body":16,"breadcrumbs":2,"title":0},"147":{"body":1,"breadcrumbs":2,"title":0},"148":{"body":36,"breadcrumbs":2,"title":0},"149":{"body":25,"breadcrumbs":2,"title":0},"15":{"body":17,"breadcrumbs":1,"title":1},"150":{"body":24,"breadcrumbs":2,"title":0},"151":{"body":7,"breadcrumbs":3,"title":1},"152":{"body":9,"breadcrumbs":2,"title":0},"153":{"body":9,"breadcrumbs":2,"title":0},"154":{"body":171,"breadcrumbs":3,"title":1},"155":{"body":14,"breadcrumbs":2,"title":0},"156":{"body":5,"breadcrumbs":3,"title":1},"157":{"body":4,"breadcrumbs":3,"title":1},"158":{"body":4,"breadcrumbs":3,"title":1},"159":{"body":7,"breadcrumbs":3,"title":1},"16":{"body":28,"breadcrumbs":1,"title":1},"160":{"body":4,"breadcrumbs":2,"title":0},"161":{"body":2,"breadcrumbs":2,"title":0},"162":{"body":0,"breadcrumbs":3,"title":1},"163":{"body":10,"breadcrumbs":2,"title":0},"164":{"body":75,"breadcrumbs":2,"title":0},"165":{"body":87,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":0,"title":0},"167":{"body":2247,"breadcrumbs":1,"title":0},"168":{"body":0,"breadcrumbs":1,"title":0},"169":{"body":0,"breadcrumbs":2,"title":1},"17":{"body":28,"breadcrumbs":2,"title":2},"170":{"body":0,"breadcrumbs":2,"title":1},"171":{"body":0,"breadcrumbs":2,"title":1},"172":{"body":0,"breadcrumbs":2,"title":1},"173":{"body":0,"breadcrumbs":2,"title":1},"174":{"body":0,"breadcrumbs":2,"title":1},"175":{"body":0,"breadcrumbs":2,"title":1},"176":{"body":0,"breadcrumbs":2,"title":1},"177":{"body":0,"breadcrumbs":2,"title":1},"178":{"body":0,"breadcrumbs":2,"title":1},"179":{"body":0,"breadcrumbs":2,"title":1},"18":{"body":18,"breadcrumbs":1,"title":1},"180":{"body":0,"breadcrumbs":2,"title":1},"181":{"body":0,"breadcrumbs":2,"title":1},"182":{"body":0,"breadcrumbs":2,"title":1},"183":{"body":0,"breadcrumbs":2,"title":1},"184":{"body":0,"breadcrumbs":2,"title":1},"185":{"body":0,"breadcrumbs":2,"title":1},"186":{"body":0,"breadcrumbs":2,"title":1},"187":{"body":0,"breadcrumbs":2,"title":1},"188":{"body":0,"breadcrumbs":2,"title":1},"189":{"body":0,"breadcrumbs":2,"title":1},"19":{"body":22,"breadcrumbs":0,"title":0},"190":{"body":0,"breadcrumbs":2,"title":1},"191":{"body":0,"breadcrumbs":2,"title":1},"192":{"body":0,"breadcrumbs":2,"title":1},"193":{"body":0,"breadcrumbs":2,"title":1},"2":{"body":0,"breadcrumbs":0,"title":0},"20":{"body":7,"breadcrumbs":0,"title":0},"21":{"body":14,"breadcrumbs":0,"title":0},"22":{"body":2,"breadcrumbs":0,"title":0},"23":{"body":3,"breadcrumbs":2,"title":0},"24":{"body":3,"breadcrumbs":2,"title":0},"25":{"body":0,"breadcrumbs":2,"title":0},"26":{"body":0,"breadcrumbs":2,"title":0},"27":{"body":6,"breadcrumbs":3,"title":1},"28":{"body":5,"breadcrumbs":2,"title":0},"29":{"body":11,"breadcrumbs":5,"title":3},"3":{"body":0,"breadcrumbs":0,"title":0},"30":{"body":7,"breadcrumbs":4,"title":2},"31":{"body":2,"breadcrumbs":2,"title":0},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":25,"breadcrumbs":4,"title":0},"34":{"body":20,"breadcrumbs":4,"title":0},"35":{"body":44,"breadcrumbs":4,"title":0},"36":{"body":20,"breadcrumbs":0,"title":0},"37":{"body":75,"breadcrumbs":2,"title":0},"38":{"body":78,"breadcrumbs":2,"title":0},"39":{"body":56,"breadcrumbs":2,"title":0},"4":{"body":7,"breadcrumbs":0,"title":0},"40":{"body":24,"breadcrumbs":2,"title":0},"41":{"body":1,"breadcrumbs":0,"title":0},"42":{"body":12,"breadcrumbs":2,"title":0},"43":{"body":1,"breadcrumbs":2,"title":0},"44":{"body":3,"breadcrumbs":2,"title":0},"45":{"body":2,"breadcrumbs":2,"title":0},"46":{"body":0,"breadcrumbs":2,"title":0},"47":{"body":0,"breadcrumbs":2,"title":0},"48":{"body":7,"breadcrumbs":2,"title":0},"49":{"body":3,"breadcrumbs":2,"title":0},"5":{"body":3,"breadcrumbs":0,"title":0},"50":{"body":68,"breadcrumbs":4,"title":0},"51":{"body":0,"breadcrumbs":4,"title":0},"52":{"body":14,"breadcrumbs":4,"title":0},"53":{"body":10,"breadcrumbs":4,"title":0},"54":{"body":18,"breadcrumbs":4,"title":0},"55":{"body":18,"breadcrumbs":4,"title":0},"56":{"body":5,"breadcrumbs":4,"title":0},"57":{"body":27,"breadcrumbs":4,"title":0},"58":{"body":0,"breadcrumbs":2,"title":0},"59":{"body":0,"breadcrumbs":4,"title":0},"6":{"body":2,"breadcrumbs":0,"title":0},"60":{"body":18,"breadcrumbs":5,"title":1},"61":{"body":18,"breadcrumbs":5,"title":1},"62":{"body":18,"breadcrumbs":4,"title":0},"63":{"body":13,"breadcrumbs":4,"title":0},"64":{"body":5,"breadcrumbs":4,"title":0},"65":{"body":17,"breadcrumbs":5,"title":1},"66":{"body":0,"breadcrumbs":4,"title":0},"67":{"body":22,"breadcrumbs":4,"title":0},"68":{"body":17,"breadcrumbs":4,"title":0},"69":{"body":24,"breadcrumbs":4,"title":0},"7":{"body":15,"breadcrumbs":0,"title":0},"70":{"body":15,"breadcrumbs":4,"title":0},"71":{"body":99,"breadcrumbs":4,"title":0},"72":{"body":68,"breadcrumbs":4,"title":0},"73":{"body":0,"breadcrumbs":2,"title":0},"74":{"body":1,"breadcrumbs":3,"title":0},"75":{"body":22,"breadcrumbs":3,"title":0},"76":{"body":20,"breadcrumbs":3,"title":0},"77":{"body":14,"breadcrumbs":3,"title":0},"78":{"body":26,"breadcrumbs":5,"title":1},"79":{"body":16,"breadcrumbs":3,"title":0},"8":{"body":4,"breadcrumbs":0,"title":0},"80":{"body":9,"breadcrumbs":3,"title":0},"81":{"body":18,"breadcrumbs":7,"title":2},"82":{"body":29,"breadcrumbs":7,"title":2},"83":{"body":2,"breadcrumbs":2,"title":0},"84":{"body":34,"breadcrumbs":3,"title":0},"85":{"body":5,"breadcrumbs":3,"title":0},"86":{"body":16,"breadcrumbs":3,"title":0},"87":{"body":26,"breadcrumbs":5,"title":1},"88":{"body":10,"breadcrumbs":3,"title":0},"89":{"body":9,"breadcrumbs":5,"title":1},"9":{"body":57,"breadcrumbs":0,"title":0},"90":{"body":35,"breadcrumbs":3,"title":0},"91":{"body":0,"breadcrumbs":2,"title":0},"92":{"body":33,"breadcrumbs":3,"title":0},"93":{"body":11,"breadcrumbs":3,"title":0},"94":{"body":43,"breadcrumbs":3,"title":0},"95":{"body":11,"breadcrumbs":3,"title":0},"96":{"body":7,"breadcrumbs":5,"title":1},"97":{"body":24,"breadcrumbs":3,"title":0},"98":{"body":8,"breadcrumbs":7,"title":2},"99":{"body":0,"breadcrumbs":3,"title":0}},"docs":{"0":{"body":"Veryl Veryl は SystemVerilog をベースに設計されたハードウェア記述言語であり、以下のような特徴があります。","breadcrumbs":"概要 » ハードウェア記述言語 Veryl","id":"0","title":"ハードウェア記述言語 Veryl"},"1":{"body":"Verylは、SystemVerilogの経験者にとって親しみやすい基本構文に基づきながら、論理設計に最適化された構文を採用しています。この最適化には、たとえば合成可能性の保証やシミュレーション結果の一致の保証、頻出する定型文を簡素化する多数の構文などの提供が含まれます。このアプローチにより、学習の容易さ、設計プロセスの信頼性と効率の向上、およびコードの記述の容易さが実現されます。","breadcrumbs":"概要 » 最適化された構文","id":"1","title":"最適化された構文"},"10":{"body":"末尾カンマは、リストの最後の要素の後ろにカンマが置かれる構文です。これにより、要素の追加や削除が容易になり、バージョン管理システムにおける不必要な差異を減らすことができます。 SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"特徴 » 末尾カンマ","id":"10","title":"末尾カンマ"},"100":{"body":"SV アトリビュートは SystemVerilog のアトリビュートを表し、(* *) という形式の SystemVerilog アトリビュートに変換されます。 module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » アトリビュート » SV アトリビュート","id":"100","title":"SV アトリビュート"},"101":{"body":"宣言や for や if を使って生成することができます。: で示すラベルは生成された複数の宣言を識別するのに必要です。 module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // else 句のラベルは省略可能 assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » 生成 » 生成","id":"101","title":"生成"},"102":{"body":"inst キーワードはモジュールやインターフェースのインスタンス化を表します。インスタンスの名前は inst の後に、インスタンスの型は : の後に書きます。#() でパラメータオーバーライドを、() でポート接続を表します。 module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // 名前によるパラメータ代入 paramB: 10, ) ( a , // 名前によるポート接続 bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » インスタンス » インスタンス","id":"102","title":"インスタンス"},"103":{"body":"{} ブロックにラベルを付けることができます。そのような名前付きブロックは独立した名前空間を持ちます。 module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » 名前付きブロック » 名前付きブロック","id":"103","title":"名前付きブロック"},"104":{"body":"import 宣言は他のパッケージからシンボルをインポートします。モジュール、インターフェース、パッケージの要素としてだけでなくトップレベルにも配置することができます。import 宣言の引数には package::* のようなワイルドカードパターンを使用することができます。 // ファイルスコープインポート\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export 宣言は宣言したパッケージからシンボルをエクスポートします。全てのシンボルをエクスポートするには export * を使用します。 package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"モジュールはソースコードの最上位コンポーネントの1つです。モジュールはオーバーライド可能なパラメータ、接続ポート、内部ロジックを持ちます。 オーバーライド可能なパラメータは #() 内で宣言できます。それぞれのパラメータ宣言は param キーワードで始まり、識別子、:、パラメータの型、デフォルト値で構成されます。 接続ポートは () 内で宣言できます。それぞれのポート宣言は識別子、:、ポートの方向、ポートの型で構成されます。利用可能なポート方向は以下の通りです。 input:入力ポート output:出力ポート inout:双方向ポート modport:インターフェースのmodport module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » モジュール » モジュール","id":"105","title":"モジュール"},"106":{"body":"インターフェースはソースコードの最上位コンポーネントの1つです。インターフェースはオーバーライド可能なパラメータ、インターフェース定義を持ちます。 オーバーライド可能なパラメータについてはモジュールと同じです。 インターフェース定義では modport を宣言することができます。modport はモジュールのポート宣言で、ポートを束ねて接続するために使うことができます。 interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » インターフェース » インターフェース","id":"106","title":"インターフェース"},"107":{"body":"パッケージはソースコードの最上位コンポーネントの1つです。パッケージはパラメータや関数などいくつかの宣言をまとめることができます。 パッケージ内の要素にアクセスするには、:: 記号を使って PackageA::ParamA のようにします。 package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » パッケージ » パッケージ","id":"107","title":"パッケージ"},"108":{"body":"SystemVerilogの要素にアクセスする場合は $sv 名前空間を使えます。例えば、SystemVerilogソースコードの \"ModuleA\" は $sv::ModuleA です。Veryl はこれらの要素が実際に存在するかどうかは確認しません。 module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilogとの相互運用 » SystemVerilogとの相互運用","id":"108","title":"SystemVerilogとの相互運用"},"109":{"body":"デフォルトではプロジェクトのトップレベルアイテム(モジュール、インターフェース、パッケージ)はプライベートです。プライベートとは他のプロジェクトから参照できないことを意味します。 pub キーワードによって他のプロジェクトから見えるように指定することができます。veryl doc コマンドはパブリックなアイテムの ドキュメント のみを生成します。 pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » 可視性 » 可視性","id":"109","title":"可視性"},"11":{"body":"クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。 さらに、明示的な clock と reset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。モジュール内にクロックとリセットが1つだけの場合、レジスタへの接続を省略することもできます。 SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff { if_reset { } else { } }\n}","breadcrumbs":"特徴 » クロックとリセットの抽象化","id":"11","title":"クロックとリセットの抽象化"},"110":{"body":"","breadcrumbs":"Language Reference » 他言語組み込み » 他言語組み込み","id":"110","title":"他言語組み込み"},"111":{"body":"embed 宣言により他言語をコードに埋め込むことができます。embed 宣言の第一引数は埋め込み方法です。以下の方法がサポートされています。 inline: コードをそのまま展開する コードブロックは lang{{{ で始まり、}}} で終わります。以下の lang 指示子がサポートされています。 sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » 他言語組み込み » embed 宣言","id":"111","title":"embed 宣言"},"112":{"body":"include 宣言により他言語のファイルを含めることができます。include 宣言の第一引数は embed 宣言と同じです。第二引数はソースコードからの相対ファイルパスです。 include(inline, \"module.sv\");","breadcrumbs":"Language Reference » 他言語組み込み » include 宣言","id":"112","title":"include 宣言"},"113":{"body":"組み込みテストは #[test(test_name)] アトリビュートでマークすることができます。マークされたブロックはテストとして認識され、 veryl test コマンドによって実行されます。ブロックのトップレベルモジュールはテスト名と同じでなければなりません。 $info、$warning、$error、$fatal システム関数によるメッセージは Veryl コンパイラにより実行ログとして表示されます。$error と $fatal の呼び出しはテストの失敗として扱われます。 以下の例では SystemVerilog のソースコードを embed 宣言で埋め込み、テストとしてマークしています。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} veryl test で使用される RTLシミュレータについては シミュレータ を参照してください。","breadcrumbs":"Language Reference » 組み込みテスト » 組み込みテスト","id":"113","title":"組み込みテスト"},"114":{"body":"ジェネリクスはパラメータオーバーライドでは実現できないアイテムのパラメータ化を可能にします。以下のアイテムがジェネリクスをサポートしています。 関数 モジュール インターフェース パッケージ 構造体 ユニオン それぞれのジェネリック定義はジェネリックパラメータ(T のような大文字1文字がよく使われます)を持ち、定義内で識別子や式として配置できます。ジェネリックパラメータはアイテムの識別子の後に ::<> を用いて宣言します。 ジェネリクスを使用するためには ::<> を用いて実パラメータを与えます。実パラメータとしては数値リテラルと :: で連結された識別子を使用することができます。 さらに、実パラメータはジェネリクス定義位置から参照できなければなりません。例えば、モジュール名はプロジェクト全体から参照できるので、実パラメータとして使用できます。一方、ローカルパラメータは多くの場合、実パラメータとして使用できません。これはローカルパラメータがジェネリクス定義位置からは参照できない場合に発生します。","breadcrumbs":"Language Reference » ジェネリクス » ジェネリクス","id":"114","title":"ジェネリクス"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック関数","id":"115","title":"ジェネリック関数"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックモジュール/インターフェース","id":"116","title":"ジェネリックモジュール/インターフェース"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックパッケージ","id":"117","title":"ジェネリックパッケージ"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // ローカルに定位された型が使用できています // これは `TypeA` が `StructA` の定義位置から参照できるためです var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック構造体","id":"118","title":"ジェネリック構造体"},"119":{"body":"この章ではプロジェクト設定や開発ツールなど開発環境について説明します。","breadcrumbs":"開発環境 » 開発環境","id":"119","title":"開発環境"},"12":{"body":"ドキュメンテーションコメントとしてモジュールの説明を書いておくとドキュメントを自動生成することができます。単なるテキストだけでなく、マークダウン形式や WaveDrom による波形記述を使用することができます。 SystemVerilog\nVeryl // コメント\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"特徴 » ドキュメンテーションコメント","id":"12","title":"ドキュメンテーションコメント"},"120":{"body":"[project] --- プロジェクト定義 name --- プロジェクトの名前 version --- プロジェクトのバージョン authors --- プロジェクトの作者 description --- プロジェクトの説明 license --- プロジェクトのライセンス repository --- プロジェクトのリポジトリの URL [build] --- ビルド設定 clock_type --- クロックタイプ reset_type --- リセットタイプ filelist_type --- ファイルリストのタイプ target --- 出力方法 implicit_parameter_types --- 暗黙のパラメータ型を有効にするかどうか omit_project_prefix --- プロジェクト名のプレフィックスを省略するかどうか strip_comments --- コメントを削除するかどうか [format] --- フォーマット設定 [lint] --- リント設定 [test] --- テスト設定 [publish] --- 公開設定 [dependencies] --- ライブラリの依存関係","breadcrumbs":"Development Environment » プロジェクト設定 » プロジェクト設定","id":"120","title":"プロジェクト設定"},"121":{"body":"Veryl.toml の最初のセクションは [project] です。name と version は必須です。","breadcrumbs":"Development Environment » プロジェクト設定 » [project] セクション","id":"121","title":"[project] セクション"},"122":{"body":"プロジェクト名は生成されるコードのプレフィックスに使われます。そのためプロジェクト名はアルファベットか _ で始まり、英数字と_ しか使ってはいけません。","breadcrumbs":"Development Environment » プロジェクト設定 » name フィールド","id":"122","title":"name フィールド"},"123":{"body":"プロジェクトのバージョンは セマンティックバージョニング に従います。バージョンは以下の3つの数字からなります。 メジャー -- 互換性のない変更時に上げる マイナー -- 互換性のある機能追加時に上げる バッチ -- 互換性のあるバグ修正時に上げる [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » version フィールド","id":"123","title":"version フィールド"},"124":{"body":"オプションの authors フィールドにはこのプロジェクトの作者である人や組織を配列にリストアップします。配列内の各文字列のフォーマットは自由です。名前のみ、Eメールアドレスのみ、名前と括弧で囲んだEメールアドレスといった形式がよく使われます。 [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » プロジェクト設定 » authors フィールド","id":"124","title":"authors フィールド"},"125":{"body":"description はプロジェクトの短い説明です。マークダウンではなくプレーンテキスト形式で書きます。","breadcrumbs":"Development Environment » プロジェクト設定 » description フィールド","id":"125","title":"description フィールド"},"126":{"body":"license フィールドはこのプロジェクトがどのライセンスで公開されているかを指定します。指定する文字列は SPDX 2.3 license expression に従ってください。 [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » license フィールド","id":"126","title":"license フィールド"},"127":{"body":"repository フィールドはプロジェクトのソースリポジトリへのURLです。 [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » プロジェクト設定 » repository フィールド","id":"127","title":"repository フィールド"},"128":{"body":"[build] セクションはコード生成の設定です。","breadcrumbs":"Development Environment » プロジェクト設定 » [build] セクション","id":"128","title":"[build] セクション"},"129":{"body":"clock_type フィールドはフリップフロップを駆動するクロックエッジを指定します。 posedge -- 立ち上がりエッジ negedge -- 立ち下がりエッジ","breadcrumbs":"Development Environment » プロジェクト設定 » clock_type フィールド","id":"129","title":"clock_type フィールド"},"13":{"body":"ノンブロッキング専用の代入演算子はなく、always_ff 内ではノンブロッキング代入が、 always_comb 内ではブロッキング代入が推論されます。そのため always_ff 内でも always_comb 内と同様に様々な複合代入演算子を使用することができます。 SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"特徴 » always_ff での複合代入演算子","id":"13","title":"always_ff での複合代入演算子"},"130":{"body":"reset_type フィールドはリセットの極性と同期性を指定します。 async_low -- 非同期・負極性 async_high -- 非同期・正極性 sync_low -- 同期・負極性 sync_high -- 同期・正極性","breadcrumbs":"Development Environment » プロジェクト設定 » reset_type フィールド","id":"130","title":"reset_type フィールド"},"131":{"body":"filelist_type フィールドはファイルリストのフォーマットを指定します。 absolute -- プレーンテキスト形式の絶対パスのリスト relative -- プレーンテキスト形式の相対パスのリスト flgen -- flgen 形式のファイルリスト","breadcrumbs":"Development Environment » プロジェクト設定 » filelist_type フィールド","id":"131","title":"filelist_type フィールド"},"132":{"body":"target フィールドはコードの生成先を指定します。 source -- ソースコードと同じディレクトリ directory -- 特定のディレクトリ bundle -- 特定のファイル directory あるいは bundle を指定する場合は、ターゲットパスを path キーで指定します。 [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » プロジェクト設定 » target フィールド","id":"132","title":"target フィールド"},"133":{"body":"implicit_parameter_types フィールドは生成コードの parameter 宣言で省略する型をリストアップします。いくつかのEDAツールでは特定の型(例えば string)を parameter 宣言で使うことができないためです。例えば string を指定する場合は以下のようにします。 [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » プロジェクト設定 » implicit_parameter_types フィールド","id":"133","title":"implicit_parameter_types フィールド"},"134":{"body":"omit_project_prefix が true のとき、モジュール・インターフェース・パッケージ名のプロジェクトプレフィックスは省略されます。この値はデフォルトで false です。 [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » プロジェクト設定 » omit_project_prefix フィールド","id":"134","title":"omit_project_prefix フィールド"},"135":{"body":"strip_comments が true のとき、コメント出力は省略されます。この値はデフォルトで false です。 [build]\nstrip_comments = true","breadcrumbs":"Development Environment » プロジェクト設定 » strip_comments フィールド","id":"135","title":"strip_comments フィールド"},"136":{"body":"[format] セクションはコードフォーマッターの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [format] セクション","id":"136","title":"[format] セクション"},"137":{"body":"[lint] セクションはリンタの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [lint] セクション","id":"137","title":"[lint] セクション"},"138":{"body":"[test] セクションはRTLシミュレータによるテストの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [test] セクション","id":"138","title":"[test] セクション"},"139":{"body":"[publish] セクションはプロジェクト公開の設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [publish] セクション","id":"139","title":"[publish] セクション"},"14":{"body":"enumのバリアントはenum毎に独立した名前空間を持っており意図しない名前の衝突を防ぐことができます。 SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"特徴 » 独立した名前空間を持つenumバリアント","id":"14","title":"独立した名前空間を持つenumバリアント"},"140":{"body":"[dependencies] セクションはライブラリの依存関係です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [dependencies] セクション","id":"140","title":"[dependencies] セクション"},"141":{"body":"他の Veryl プロジェクトへの依存関係をプロジェクトに追加したい場合、Veryl.toml に [dependencies] セクションを追加します。エントリの左辺は依存関係へのパス、右辺はバージョンです。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" デフォルトでは依存関係の名前空間はそのプロジェクト名と同じです。もし名前空間を指定したい場合は、 name フィールドを使います。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} 同じ依存関係の複数のバージョンを使う場合は、以下のようにそれぞれの名前を指定できます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » 依存関係 » 依存関係","id":"141","title":"依存関係"},"142":{"body":"Veryl.toml に依存関係を追加したあとは、その依存関係の module、interface、packageを使うことができます。以下は veryl_sample の依存関係に含まれる delay モジュールを使った例です。 module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} 注:上記のコードのプレイボタンの結果は依存関係解決を行わないので正確ではありません。実際のモジュール名は veryl_samlle_delay になります。","breadcrumbs":"Development Environment » 依存関係 » 依存関係の使用","id":"142","title":"依存関係の使用"},"143":{"body":"[dependencies] セクションの version フィールドはバージョン要求を示します。例えば、version = \"0.1.0\" は 0.1.0 と互換性のある最新バージョンを意味します。互換性は セマンティックバージョニング で判定されます。バージョンは以下の3つの部分からなります。 メジャー バージョンはAPI非互換な変更 マイナー バージョンは互換性のある機能追加 パッチ バージョンは互換性のあるバグ修正 もし メジャー バージョンが 0 なら、マイナー が非互換変更と解釈されます。 バージョン 0.1.0、0.1.1、0.2.0があった場合、0.1.1 が選択されます。これは以下のように決定されます。 0.1.0 は 0.1.0 と互換性がある 0.1.1 は 0.1.0 と互換性がある 0.2.0 は 0.1.0 と互換性がない 0.1.1 は互換性のある最新バージョン version フィールドは =0.1.0 のような指定も可能です。詳細は Rust のバージョン要求についてのドキュメントを参照してください。 Specifying Dependencies .","breadcrumbs":"Development Environment » 依存関係 » バージョン要求","id":"143","title":"バージョン要求"},"144":{"body":"プロジェクトを公開するには veryl publish コマンドを使います。公開とはバージョン番号とgitのリビジョンを紐づけることです。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish は以下のように公開されたバージョンの情報を含んだ Veryl.pub というファイルを生成します。 [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" Veryl.pub と生成した後、gitのadd、commit、pushを行えば公開手続きは完了です。gitブランチはデフォルトブランチでなければなりません。これは Veryl が Veryl.pub をデフォルトブランチから探すためです。 $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push Veryl.toml の [publish] セクションに publish_commit を設定して自動コミットを有効にすれば、gitのaddとcommitが自動で実行されます。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » プロジェクトを公開する","id":"144","title":"プロジェクトを公開する"},"145":{"body":"--bump オプションを使うと公開と同時にバージョンを上げることもできます。公開と同様に、Veryl.toml の[publish] セクションに bump_commit を設定すれば自動でcommitされます。 $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » バージョンを上げる","id":"145","title":"バージョンを上げる"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" 設定 設定値 デフォルト 説明 bump_commit ブーリアン false バージョンアップ後の自動コミット publish_commit ブーリアン false 公開後の自動コミット bump_commit_mesasge 文字列 \"chore: Bump version\" バージョンアップ後のコミットメッセージ publish_commit_mesasge 文字列 \"chore: Publish\" 公開後のコミットメッセージ","breadcrumbs":"Development Environment » プロジェクトを公開する » 設定","id":"146","title":"設定"},"147":{"body":"Veryl は任意のディレクトリ構成をサポートしています。これは独立したプロジェクトと他のプロジェクトに組み込まれたプロジェクトでは最適なディレクトリ構成が異なるためです。 この節ではいくつかのディレクトリ構成パターンを示します。","breadcrumbs":"Development Environment » ディレクトリ構成 » ディレクトリ構成","id":"147","title":"ディレクトリ構成"},"148":{"body":"このパターンでは全てのソースコードは src ディレクトリに配置されます。src 以下のサブディレクトリの構成は自由です。 $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl は全ての *.veryl ファイルを収集し、デフォルトではソースと同じディレクトリにコードを生成します。この挙動は以下の設定で明示することもできます。 [build]\ntarget = \"source\" veryl build を実行するとディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースディレクトリ","id":"148","title":"単一のソースディレクトリ"},"149":{"body":"生成されたコードを1つのディレクトリに入れたい場合、Veryl.toml の [build] セクションで target を以下のように設定します。 [build]\ntarget = {type = \"directory\", path = \"target\"} ディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースとターゲットディレクトリ","id":"149","title":"単一のソースとターゲットディレクトリ"},"15":{"body":"ビット連結における繰り返し記述として明示的な repeat 記法を採用し、 複雑な {} の組み合わせより可読性が向上しています。 SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"特徴 » ビット連結における repeat","id":"15","title":"ビット連結における repeat"},"150":{"body":"既存の SystemVerilog プロジェクトに Veryl のプロジェクトを組み込む場合、以下のような構成にすることもできます。 $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files 生成された prj.f は生成されたソースコードを全てリストアップしているので、既存の SystemVerilog ファイルリストと一緒に使うことができます。","breadcrumbs":"Development Environment » ディレクトリ構成 » マルチソースディレクトリ","id":"150","title":"マルチソースディレクトリ"},"151":{"body":"Veryl はデフォルトの .gitignore を提供しません。これはプロジェクト毎にどのファイルを無視する必要があるかが変わるためです。 .gitignore の候補としては以下が考えられます。 dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » ディレクトリ構成 » .gitignore について","id":"151","title":".gitignore について"},"152":{"body":"veryl fmt コマンドでソースコードをフォーマットできます。あるいは言語サーバの textDocument/formatting 要求によるフォーマットにも対応しています。 設定可能な項目は以下の通りです。これは Veryl.toml の [format] セクションで指定できます。 [format]\nindent_width = 4 設定 設定値 説明 indent_width 整数 インデントのスペース幅","breadcrumbs":"Development Environment » フォーマッタ » フォーマッタ","id":"152","title":"フォーマッタ"},"153":{"body":"veryl check あるいは veryl build でリントチェックができます。あるいは言語サーバはリアルタイムでのチェックを行います。 設定可能な項目は以下の通りです。これは Veryl.toml の [lint] セクションで指定できます。 [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » リンタ » リンタ","id":"153","title":"リンタ"},"154":{"body":"このセクションは命名規則の設定です。 設定 設定値 説明 case_enum ケースタイプ [1] enum のケーススタイル case_function ケースタイプ [1] function のケーススタイル case_instance ケースタイプ [1] インスタンスのケーススタイル case_interface ケースタイプ [1] interface のケーススタイル case_modport ケースタイプ [1] modport のケーススタイル case_module ケースタイプ [1] module のケーススタイル case_package ケースタイプ [1] package のケーススタイル case_parameter ケースタイプ [1] parameter のケーススタイル case_port_inout ケースタイプ [1] inout ポートのケーススタイル case_port_input ケースタイプ [1] input ポートのケーススタイル case_port_modport ケースタイプ [1] modport ポートのケーススタイル case_port_output ケースタイプ [1] output ポートのケーススタイル case_reg ケースタイプ [1] レジスタ変数 [2] のケーススタイル case_struct ケースタイプ [1] struct のケーススタイル case_wire ケースタイプ [1] ワイヤ変数 [3] のケーススタイル prefix_enum 文字列 enum のプレフィックス prefix_function 文字列 function のプレフィックス prefix_instance 文字列 インスタンスのプレフィックス prefix_interface 文字列 interface のプレフィックス prefix_modport 文字列 modport のプレフィックス prefix_module 文字列 module のプレフィックス prefix_package 文字列 package のプレフィックス prefix_parameter 文字列 parameter のプレフィックス prefix_port_inout 文字列 inout ポートのプレフィックス prefix_port_input 文字列 input ポートのプレフィックス prefix_port_modport 文字列 modport ポートのプレフィックス prefix_port_output 文字列 output ポートのプレフィックス prefix_reg 文字列 レジスタ変数 [2] のプレフィックス prefix_struct 文字列 struct のプレフィックス prefix_wire 文字列 ワイヤ変数 [3] のプレフィックス re_forbidden_enum 正規表現 [4] enum の禁止正規表現 re_forbidden_function 正規表現 [4] function の禁止正規表現 re_forbidden_instance 正規表現 [4] インスタンスの禁止正規表現 re_forbidden_interface 正規表現 [4] interface の禁止正規表現 re_forbidden_modport 正規表現 [4] modport の禁止正規表現 re_forbidden_module 正規表現 [4] module の禁止正規表現 re_forbidden_package 正規表現 [4] package の禁止正規表現 re_forbidden_parameter 正規表現 [4] parameter の禁止正規表現 re_forbidden_port_inout 正規表現 [4] inout ポートの禁止正規表現 re_forbidden_port_input 正規表現 [4] input ポートの禁止正規表現 re_forbidden_port_modport 正規表現 [4] modport ポートの禁止正規表現 re_forbidden_port_output 正規表現 [4] output ポートの禁止正規表現 re_forbidden_reg 正規表現 [4] レジスタ変数 [2] の禁止正規表現 re_forbidden_struct 正規表現 [4] struct の禁止正規表現 re_forbidden_wire 正規表現 [4] ワイヤ変数 [3] の禁止正規表現 re_required_enum 正規表現 [4] enum の必須正規表現 re_required_function 正規表現 [4] function の必須正規表現 re_required_instance 正規表現 [4] インスタンスの必須正規表現 re_required_interface 正規表現 [4] interface の必須正規表現 re_required_modport 正規表現 [4] modport の必須正規表現 re_required_module 正規表現 [4] module の必須正規表現 re_required_package 正規表現 [4] package の必須正規表現 re_required_parameter 正規表現 [4] parameter の必須正規表現 re_required_port_inout 正規表現 [4] inout ポートの必須正規表現 re_required_port_input 正規表現 [4] input ポートの必須正規表現 re_required_port_modport 正規表現 [4] modport ポートの必須正規表現 re_required_port_output 正規表現 [4] output ポートの必須正規表現 re_required_reg 正規表現 [4] レジスタ変数 [2] の必須正規表現 re_required_struct 正規表現 [4] struct の必須正規表現 re_required_wire 正規表現 [4] ワイヤ変数 [3] の必須正規表現 設定可能な値は以下です。 \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase \".*\"のような正規表現です。使用可能な構文は こちら . レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 ワイヤ変数とは always_comb で代入される変数です。合成フェーズでワイヤにマップされます。","breadcrumbs":"Development Environment » リンタ » [lint.naming] セクション","id":"154","title":"[lint.naming] セクション"},"155":{"body":"RTLシミュレータによるテストは veryl test で実行することができます。サポートされているシミュレータは以下の通りです。 Verilator Synopsys VCS AMD Vivado Simulator Verilatorはデフォルトのシミュレータです。Veryl.tomlやコマンドラインオプションでシミュレータが指定されていない場合に使用されます。 設定可能な項目は以下の通りです。これは Veryl.toml の [test] セクションで指定できます。 [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » シミュレータ » シミュレータ","id":"155","title":"シミュレータ"},"156":{"body":"このセクションはテストの設定です。 設定 設定値 説明 simulator シミュレータ名 [1] デフォルトのシミュレータ 設定可能な値は以下です。 \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » シミュレータ » [test] セクション","id":"156","title":"[test] セクション"},"157":{"body":"このセクションはVerilatorによるテストの設定です。 設定 設定値 説明 compile_args [文字列] verilator コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.verilator] セクション","id":"157","title":"[test.verilator] セクション"},"158":{"body":"このセクションはVCSによるテストの設定です。 設定 設定値 説明 compile_args [文字列] vcs コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vcs] セクション","id":"158","title":"[test.vcs] セクション"},"159":{"body":"このセクションはVivadoによるテストの設定です。 設定 設定値 説明 compile_args [文字列] xvlog コマンドへの追加の引数 elaborate_args [文字列] xelab コマンドへの追加の引数 simulate_args [文字列] xsim コマンドへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vivado] セクション","id":"159","title":"[test.vivado] セクション"},"16":{"body":"三項演算子の代わりに if 式と case 式を採用することで、比較するアイテム数が多い場合の可読性が向上します。 SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"特徴 » if / case 式","id":"16","title":"if / case 式"},"160":{"body":"veryl-ls は言語サーバのバイナリです。使用するにはエディタの設定やプラグインが必要です。 設定可能な項目は以下の通りです。これは各エディタの設定から指定できます。 設定 設定値 デフォルト 説明 useOperatorCompletion ブーリアン false 演算子(例 '>:', '>>')の補完を有効にする","breadcrumbs":"Development Environment » 言語サーバ » 言語サーバ","id":"160","title":"言語サーバ"},"161":{"body":"いくつかのツールはサポートしていない SystemVerilog 構文があります。これをサポートするために、 Veryl.toml の設定でコード生成をカスタマイズすることができます。","breadcrumbs":"Development Environment » 互換性 » 互換性","id":"161","title":"互換性"},"162":{"body":"","breadcrumbs":"Development Environment » 互換性 » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivadoは string 型の parameter をサポートしていません。 parameter string a = \"A\"; その場合は implicit_parameter_types を設定してください。 [build]\nimplicit_parameter_types = [\"string\"] 設定すると生成コードは以下のようになります。 parameter a = \"A\";","breadcrumbs":"Development Environment » 互換性 » 文字列パラメータ","id":"163","title":"文字列パラメータ"},"164":{"body":"プロジェクトのドキュメントは veryl doc コマンドで生成することができます。全てのパブリックなモジュールとインターフェース、パッケージがリストアップされます。(参照 可視性 ) 詳細な説明を書きたい場合はドキュメンテーションコメントを追加することもできます。ドキュメンテーションコメントでは マークダウン 記法を使えます。 WaveDrom による波形記述もサポートされています。wavedrom コードブロック内で、WaveDromの構文を記述することができます。構文の詳細は チュートリアル を参照してください。 /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} 設定可能な項目は以下の通りです。これは Veryl.toml の [doc] セクションで指定できます。 [doc]\npath = \"document\" 設定 設定値 デフォルト 説明 path 文字列 \"doc\" 出力ディレクトリへのパス","breadcrumbs":"Development Environment » ドキュメンテーション » ドキュメンテーション","id":"164","title":"ドキュメンテーション"},"165":{"body":"ビルド済みのVerylバイナリをダウンロードするための公式GitHub actionが提供されています。 https://github.com/marketplace/actions/setup-veryl GitHub actionスクリプトの例は以下の通りです。 フォーマットとビルドチェック name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check GitHub Pagesからドキュメントを公開する name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Verilator によるテスト このために GitHub action veryl-lang/setup-verilator を公開しています。 name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"補遺 » 補遺","id":"166","title":"補遺"},"167":{"body":"Veryl のパーサはパーサジェネレータ parol を使っています。以下の parol の構文定義が正式な構文です。 %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » 構文 » 構文","id":"167","title":"構文"},"168":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » セマンティックエラー","id":"168","title":"セマンティックエラー"},"169":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"閉区間 ..= と半開区間 .. を表す記法を導入し、 for 、inside で範囲を統一的に記述できるようにしました。また、inside の逆を意味する outside も導入しました。 SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"特徴 » 範囲 for / inside / outside","id":"17","title":"範囲 for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"最上位ビットを示す msb 記法により、パラメータから最上位ビットを計算する必要がなくなり、より意図を明確にすることができます。 SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"特徴 » msb 記法","id":"18","title":"msb 記法"},"180":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"変数宣言と同時に値を束縛する専用の let 文が用意されており、SystemVerilogではサポートされていなかった様々な場所で使用することができます。 SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"特徴 » let 文","id":"19","title":"let 文"},"190":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"VerylはSystemVerilogとの相互運用性を考慮して設計されており、既存のSystemVerilogコンポーネントやプロジェクトとの組み合わせや部分的な置き換えをスムーズに行うことができます。さらに、VerylからトランスパイルされたSystemVerilogソースコードは、その高い可読性により、シームレスな統合やデバッグを可能にします。","breadcrumbs":"概要 » 相互運用性","id":"2","title":"相互運用性"},"20":{"body":"変数のスコープを限定するための名前付きブロックを定義することができます。 SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"特徴 » 名前付きブロック","id":"20","title":"名前付きブロック"},"21":{"body":"pub キーワードの付かないモジュールはプロジェクト外から参照できず、ドキュメントの自動生成にも含まれません。これによりプロジェクト外に公開したいものと内部実装とを区別することができます。 SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} いくつかのブラウザはデフォルトでGIF動画の再生を停止しています。ブラウザの設定を確認してください。","breadcrumbs":"特徴 » 可視性制御","id":"21","title":"可視性制御"},"22":{"body":"Veryl を使ってみましょう。この章では Veryl のインストール、サンプルプロジェクトの作成とビルドまでを行います。","breadcrumbs":"はじめに » はじめに","id":"22","title":"はじめに"},"23":{"body":"Veryl バイナリをダウンロードしてインストールできます。もし Rust ユーザであれば cargo コマンドでインストールすることもできます。","breadcrumbs":"Getting Started » インストール » インストール","id":"23","title":"インストール"},"24":{"body":"Veryl は git コマンドを内部で使用します。 git が起動できることを確認しておいてください。","breadcrumbs":"Getting Started » インストール » 要件","id":"24","title":"要件"},"25":{"body":"","breadcrumbs":"Getting Started » インストール » インストール方法の選択","id":"25","title":"インストール方法の選択"},"26":{"body":"リリースページ からダウンロードして、パスの通ったところに展開してください。","breadcrumbs":"Getting Started » インストール » バイナリのダウンロード","id":"26","title":"バイナリのダウンロード"},"27":{"body":"cargo コマンドからインストールすることもできます。 cargo install veryl veryl-ls","breadcrumbs":"Getting Started » インストール » Cargo","id":"27","title":"Cargo"},"28":{"body":"公式には Visual Studio Code と Vim / Neovim がサポートされています。","breadcrumbs":"Getting Started » インストール » エディタ設定","id":"28","title":"エディタ設定"},"29":{"body":"Visual Studio Code 向けに Veryl 拡張が提供されています。拡張はファイルタイプの検出とシンタックスハイライト、言語サーバの組み込みを提供します。拡張パネルから \"Veryl\" で検索するか、以下の URL からインストールしてください。 Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » インストール » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Verylはパッケージマネージャ、ビルドツール、そしてVSCode、Vim、Emacsなどの主要なエディタに対応するリアルタイムチェッカー、自動補完機能、自動フォーマッタなど、豊富な開発支援ツールを備えています。これらのツールは、開発プロセスを加速し、生産性を大幅に向上させることができます。 これらの特性により、Verylは設計者が高品質なハードウェア設計をより効率的かつ生産的に行うための強力なサポートを提供します。","breadcrumbs":"概要 » 生産性","id":"3","title":"生産性"},"30":{"body":"Vim / Neovim 向けに Veryl プラグインが提供されています。プラグインはファイルタイプの検出とシンタックスハイライトを提供します。プラグインのインストールと言語サーバの組み込みは以下の URL を参照してください。 Vim / Neovim plugin","breadcrumbs":"Getting Started » インストール » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl は言語サーバを提供しているので、言語サーバをサポートしているエディタ(例えば Emacs)であれば利用できます。","breadcrumbs":"Getting Started » インストール » そのほかのエディタ","id":"31","title":"そのほかのエディタ"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"まず始めに、新しい Veryl プロジェクトを作りましょう。 veryl new hello コマンドを実行すると、以下のようなディレクトリとファイルが作成されます。 $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml はプロジェクトの設定ファイルです。 [project]\nname = \"hello\"\nversion = \"0.1.0\" 全設定の説明は こちら 。","breadcrumbs":"Getting Started » Hello, World! » プロジェクトを作る","id":"33","title":"プロジェクトを作る"},"34":{"body":"ソースコードはプロジェクトディレクトリ内のどこに書いても構いません。これは Veryl プロジェクトが独立したプロジェクトである場合もあれば、他のSystemVerilog プロジェクトに組み込まれている場合もあるからです。Veryl のソースコードの拡張子は .veryl です。 例えば以下のコードを src/hello.veryl に書いてみましょう。 module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files 注:この本のいくつかのソースコードには、マウスをホバーすると現れるプレイボタン \"▶\" があります。ボタンをクリックすると、トランスパイルされた SystemVerilog のコードが現れます。module ModuleA のコードのボタンを押してみましょう。","breadcrumbs":"Getting Started » Hello, World! » コードを書く","id":"34","title":"コードを書く"},"35":{"body":"veryl build コマンドで SystemVerilog のソースコードを生成できます。 $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files デフォルトでは SystemVerilog のコードは Veryl のコードと同じディレクトリに生成されます。つまり src/hello.sv です。 module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule さらに、生成されたコードのファイルリスト hello.f も生成されます。これは SystemVerilog コンパイラで使用できます。 Verilator で使用するには以下のようにします。 $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » ビルドする","id":"35","title":"ビルドする"},"36":{"body":"Veryl は SystemVerilog とほとんど同じセマンティクスを持っています。もし SystemVerilog に慣れていれば、いくつかの例をみるだけで Veryl の構文をだいたい把握できるでしょう。 この小さな例では、コメントに SystemVerilog 構文との違いが書かれています。 module ModuleA ( // 識別子が先で `:` の後に型が来ます // ビット幅は `<>` で表されます i_data: input logic<10>, o_data: output logic<10>, // `begin`/`end` ではなく `{}` を使います\n) { assign o_data = i_data;\n} さらに、この章のコードブロックは編集することもできます。それぞれのコードを編集して実行してみましょう。 Veryl のソースコードは SystemVerilog と同様に、module、interface、package を持ちます。この章ではそれらの例を示します。","breadcrumbs":"コード例 » コード例","id":"36","title":"コード例"},"37":{"body":"// モジュール定義\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // 末尾カンマが可能です\n) ( i_clk : input clock , // `clock` はクロックのための特別な型です i_rst : input reset , // `reset` はリセットのための特別な型です i_sel : input logic , i_data: input logic [2], // `[]` は SystemVerilog のアンパック配列です o_data: output logic , // `<>` は SystemVerilog のパック配列です\n) { // ローカルパラメータ宣言 // モジュール内では `param` は使えません local ParamC: u32 = 10; // 変数宣言 var r_data0: logic; var r_data1: logic; var r_data2: logic; // 値の束縛 let _w_data2: logic = i_data; // リセット付き always_ff 文 // `always_ff` はクロック(必須)とリセット(オプション)を持ちます // `if_reset` は `if (i_rst)` を意味し、リセット極性を隠蔽するための構文です // `if` 文に `()` はいりません // `always_ff` 内の `=` はノンブロッキング代入です always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // リセットなし always_ff 文 always_ff (i_clk) { r_data1 = r_data0; } // モジュール内にクロックとリセットが1つしかない場合 // クロックとリセットの指定は省略できます always_ff { r_data2 = r_data1; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » モジュール » モジュール","id":"37","title":"モジュール"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // インスタンス宣言 // インスタンス宣言は `inst` キーワードではじまります // ポート接続は `()` 内で指定します // 各ポートの接続は `[port_name]:[variable]` のような形式になります // `[port_name]` は `[port_name]:[port_name]` を意味します inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // パラメータオーバーライド付きインスタンス宣言 // パラメータの接続記法はポートと同様です inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » インスタンス » インスタンス","id":"38","title":"インスタンス"},"39":{"body":"// インターフェース定義\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport 定義 modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // modport によるポート宣言 intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // インターフェースのインスタンス inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » インターフェース » インターフェース","id":"39","title":"インターフェース"},"4":{"body":"この章ではVerylの特徴的な機能をわかりやすい例とともに紹介します。 リアルタイム診断 自動フォーマット 組み込みテスト 依存関係管理 ジェネリクス 末尾カンマ クロックとリセットの抽象化 ドキュメンテーションコメント always_ff での複合代入演算子 独立した名前空間を持つenumバリアント ビット連結における repeat if / case 式 範囲 for / inside / outside msb 記法 let 文 名前付きブロック 可視性制御","breadcrumbs":"特徴 » 特徴","id":"4","title":"特徴"},"40":{"body":"// パッケージ定義\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » パッケージ » パッケージ","id":"40","title":"パッケージ"},"41":{"body":"この章では Veryl の言語仕様について説明します。","breadcrumbs":"言語リファレンス » 言語リファレンス","id":"41","title":"言語リファレンス"},"42":{"body":"Veryl のソースコードはいくつかの module、interface、package からなります。 module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} トランスパイルされたコードにおける module、interface、package の名前には先頭にプロジェクト名が付きます。このサンプルコードでは project_ が付きます。これはプロジェクト間で名前が衝突するのを防ぐためです。","breadcrumbs":"Language Reference » ソースコードの構造 » ソースコードの構造","id":"42","title":"ソースコードの構造"},"43":{"body":"この章では Veryl の字句構造について説明します。まず始めに、全体的なことがらからです。","breadcrumbs":"Language Reference » 字句構造 » 字句構造","id":"43","title":"字句構造"},"44":{"body":"Veryl のソースコードは UTF-8 エンコーディングでなければなりません。","breadcrumbs":"Language Reference » 字句構造 » エンコーディング","id":"44","title":"エンコーディング"},"45":{"body":"(空白)、\\t、\\n は空白として扱われ、Veryl のパーサはこれらを全て無視します。","breadcrumbs":"Language Reference » 字句構造 » 空白","id":"45","title":"空白"},"46":{"body":"行コメントと複数行コメントが使えます。ほとんどのコメントはトランスパイルされたコードにも出力されます。 // 行コメント /*\n複数 行 コメント\n*/","breadcrumbs":"Language Reference » 字句構造 » コメント","id":"46","title":"コメント"},"47":{"body":"/// ではじまる行コメントはドキュメンテーションコメントとして扱われます。ドキュメンテーションコメントはドキュメントの生成に使われます。 /// ドキュメンテーションコメント","breadcrumbs":"Language Reference » 字句構造 » ドキュメンテーションコメント","id":"47","title":"ドキュメンテーションコメント"},"48":{"body":"識別子は ASCII のアルファベットと数値、 _ からなります。先頭が数値であってはなりません。正式な定義は以下の正規表現です。 [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » 字句構造 » 識別子","id":"48","title":"識別子"},"49":{"body":"\" で囲んだものが文字列になります。\\\" や \\n のように \\ によるエスケープも可能です。 \"Hello, World!\"","breadcrumbs":"Language Reference » 字句構造 » 文字列","id":"49","title":"文字列"},"5":{"body":"変数の未定義・未使用・未代入といった問題はエディタでの編集中にリアルタイムに通知されます。次の例では、未使用変数として通知された変数に _ プレフィックスを付加することで未使用であることを明示し、警告を抑制しています。 diagnostics ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » リアルタイム診断","id":"5","title":"リアルタイム診断"},"50":{"body":"ほとんどの演算子は SystemVerilog と同じです。いくつか違いがあるので注意してください。 <: 小なり演算子です。SystemVerilog の < と同じです。 >: 大なり演算子です。SystemVerilog の > と同じです。 // 単項算術演算\na = +1;\na = -1; // 単項論理演算\na = !1;\na = ~1; // 単項集約演算\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // 二項算術演算\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // シフト演算\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // 比較演算\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // ビット演算\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // 二項論理演算\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » 演算子 » 演算子","id":"50","title":"演算子"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 数値","id":"51","title":"数値"},"52":{"body":"// 整数\n0123456789\n01_23_45_67_89 // 2進数\n32'b01xzXZ\n32'b01_xz_XZ // 8進数\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // 10進数\n32'd0123456789\n32'd01_23_45_67_89 // 16進数\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 整数","id":"52","title":"整数"},"53":{"body":"// 全て 0\n'0 // 全て 1\n'1 // 全て x\n'x\n'X // 全て z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 全ビットのセット","id":"53","title":"全ビットのセット"},"54":{"body":"ビット幅指定は省略することができます。省略された場合、トランスパイルされたコードでは適切なビット幅が付与されます。 module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 幅なし整数","id":"54","title":"幅なし整数"},"55":{"body":"\"全ビットのセット\" にビット幅指定を付与することもできます。 module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 指定ビットのセット","id":"55","title":"指定ビットのセット"},"56":{"body":"// 浮動小数点数\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // 指数表記\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 浮動小数点数","id":"56","title":"浮動小数点数"},"57":{"body":"'{} は配列リテラルを表します。リテラル内には式、repeat キーワード、default キーワードを配置することができます。 module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » 配列リテラル » 配列リテラル","id":"57","title":"配列リテラル"},"58":{"body":"この章ではデータ型について説明します。","breadcrumbs":"Language Reference » データ型 » データ型","id":"58","title":"データ型"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 組み込み型","id":"59","title":"組み込み型"},"6":{"body":"エディタと連携した自動フォーマット機能のほか、コマンドラインでのフォーマットやCIでのフォーマットチェックも可能です。 format ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » 自動フォーマット","id":"6","title":"自動フォーマット"},"60":{"body":"logic は4値(0、1、x、z)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な4値データ型","id":"60","title":"幅指定可能な4値データ型"},"61":{"body":"bit は2値(0、1)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な2値データ型","id":"61","title":"幅指定可能な2値データ型"},"62":{"body":"整数型にはいくつかの種類があります。 u32:32ビットの符号なし整数 u64:64ビットの符号なし整数 i32:32ビットの符号付き整数 i64:64ビットの符号付き整数 module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 整数型","id":"62","title":"整数型"},"63":{"body":"浮動小数点数型にもいくつかの種類があります。 f32:32ビット浮動小数点数 f64:64ビット浮動小数点数 いずれも IEEE Std 754 準拠の表現です。 module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 浮動小数点数型","id":"63","title":"浮動小数点数型"},"64":{"body":"string は文字列を表す型です。 module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 文字列型","id":"64","title":"文字列型"},"65":{"body":"type は型の種類を表す型です。type 型の変数は param か local としてのみ定義可能です。 module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » Type型","id":"65","title":"Type型"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユーザ定義型","id":"66","title":"ユーザ定義型"},"67":{"body":"struct は複合データ型です。いくつかのフィールドを持つことができ、. 演算子を通してアクセスできます。 module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 構造体","id":"67","title":"構造体"},"68":{"body":"enum は列挙型です。名前の付いたバリアントを複数持ち、enum 型の変数にはそのバリアントのうち1つだけをセットできます。バリアント名は [enum name]::[variant name] の形式で指定可能です。それぞれのバリアントは対応する整数値を持ち、= で指定することができます。指定されなかった場合は自動的に割り当てられます。 module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 列挙型","id":"68","title":"列挙型"},"69":{"body":"union はパックされたタグなしの直和型で、SystemVerilog では packed union にトランスパイルされます。ユニオンのそれぞれのバリアントの幅は同じでなければなりません。 module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユニオン","id":"69","title":"ユニオン"},"7":{"body":"SystemVerilogで書かれたテストコードをVerylに埋め込み、veryl test コマンドで実行することができます。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"特徴 » 組み込みテスト","id":"7","title":"組み込みテスト"},"70":{"body":"type キーワードを使って、スカラー型や配列型への型エイリアスを定義することができます。 module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 型定義","id":"70","title":"型定義"},"71":{"body":"任意のデータ型に対して [] と付与することで配列を定義することができます。配列の長さは [] 内の値で指定します。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} [X, Y, Z,,,] のように多次元配列も定義できます。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » 配列 » 配列","id":"71","title":"配列"},"72":{"body":"clock はクロック配線を表す特別な型です。クロックの極性を指定するため以下の3種類があります。 clock: ビルド時の設定で指定される極性を持つクロック型 clock_posedge: 正極性のクロック型 clock_negedge: 負極性のクロック型 reset はリセット配線を表す特別な型です。リセットの極性と同期・非同期を指定するため以下の5種類があります。 reset: ビルド時の設定で指定される極性と同期性を持つリセット型 reset_async_high: 正極性の非同期リセット型 reset_async_low: 負極性の非同期リセット型 reset_sync_high: 正極性の同期リセット型 reset_sync_low: 負極性の同期リセット型 特別な要件がなければ、コードの再利用を高めるため clock と reset の使用を推奨します。 module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » クロックとリセット » クロックとリセット","id":"72","title":"クロックとリセット"},"73":{"body":"この章では式について説明します。式は変数や演算子、関数呼び出しなどを組み合わせたもので、評価して値を得ることができます。","breadcrumbs":"Language Reference » 式 » 式","id":"73","title":"式"},"74":{"body":"式内での演算子の優先順位は SystemVerilog とほとんど同じです。 演算子 結合性 優先順位 () [] :: . 左 高い + - ! ~ & ~& | ~| ^ ~^ ^~ (単項) 左 ** 左 * / % 左 + - (二項) 左 << >> <<< >>> 左 <: <= >: >= 左 == != === !== ==? !=? 左 & (二項) 左 ^ ~^ ^~ (二項) 左 |(二項) 左 && 左 || 左 = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= なし {} なし 低い","breadcrumbs":"Language Reference » Expression » 演算子の優先順位 » 演算子の優先順位","id":"74","title":"演算子の優先順位"},"75":{"body":"関数は function_name(argument) の形式で呼び出すことができます。$clog2 のような SystemVerilog のシステム関数も使えます。 module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » 関数呼び出し » 関数呼び出し","id":"75","title":"関数呼び出し"},"76":{"body":"{} はビット連結を表します。{} の中では repeat キーワードを使うことで指定されたオペランドを繰り返すこともできます。 module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » 連結 » 連結","id":"76","title":"連結"},"77":{"body":"if を用いた条件式を使えます。if キーワードの後に条件を示す節を置きますが、() で囲む必要はありません。if 式は常になんらかの値に評価される必要があるため else は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » if » if","id":"77","title":"if"},"78":{"body":"もう一つの条件式が case です。case は 式: 式 という形式の条件を複数持ちます。もし case キーワードの後の式と条件の左側の式が一致すれば、その条件の右側の式が返されます。default はそれ以外の条件が全て失敗したときに返される特別な条件です。case 式は常になんらかの値に評価される必要があるため default は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » case » case","id":"78","title":"case"},"79":{"body":"[] はビット選択演算子です。[] に式を指定すれば1ビットを選択できます。範囲選択する場合は [式:式] とします。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » ビット選択 » ビット選択","id":"79","title":"ビット選択"},"8":{"body":"Verylには依存関係の管理機能が組み込まれており、プロジェクト設定に以下のようにライブラリのリポジトリパスとバージョンを追加するだけで、簡単にライブラリを組み込むことができます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"特徴 » 依存関係管理","id":"8","title":"依存関係管理"},"80":{"body":"範囲は範囲演算子で指定できます。範囲演算子には以下の2種類があります。 ..:半開区間 ..=:閉区間 範囲は for 文などの場所で使うことができます。 module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » 範囲 » 範囲","id":"80","title":"範囲"},"81":{"body":"msb と lsb は [] によるビット選択で使用できます。msb はオペランドの最上位ビットを意味します。lsb はオペランドの最下位ビットを意味し、0と同じです。 module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » msb / lsb » msb / lsb","id":"81","title":"msb / lsb"},"82":{"body":"inside は 指定された式が {} で与えられた条件内にあるかどうかを調べます。条件は単一の式または 範囲 を指定できます。条件を満たすとき inside は 1 を、そうでなければ 0 を返します。outside はその逆です。 module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » inside / outside » inside / outside","id":"82","title":"inside / outside"},"83":{"body":"この章では文について説明します。文は always_ff や always_comb などいくつかの宣言で使用することができます。","breadcrumbs":"Language Reference » 文 » 文","id":"83","title":"文"},"84":{"body":"代入文は 変数 = 式; の形式です。SystemVerilog と異なり、always_comb でも always_ff でも代入演算子は = です。以下のような代入演算子もあります。 +=:加算代入 -=:減算代入 *=:乗算代入 /=:除算代入 %=:剰余代入 &=:ビットAND代入 |=:ビットOR代入 ^=:ビットXOR代入 <<=:論理左シフト代入 >>=:論理右シフト代入 <<<=:算術左シフト代入 >>>=:算術右シフト代入 module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » 代入 » 代入","id":"84","title":"代入"},"85":{"body":"関数呼び出しは文として使うこともできます。この場合、関数の戻り値は無視されます。 module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » 関数呼び出し » 関数呼び出し","id":"85","title":"関数呼び出し"},"86":{"body":"if は文として使うこともできます。if 式との違いは {} 内に文を書くことです。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » if » if","id":"86","title":"if"},"87":{"body":"case は文として使うこともできます。条件の右側は文になります。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » case » case","id":"87","title":"case"},"88":{"body":"for 文は繰り返しを表します。in キーワードの前にループ変数を、後に 範囲 を書きます。 break を使ってループを中断することもできます。 module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » for » for","id":"88","title":"for"},"89":{"body":"return 文は関数からの戻りを示します。return キーワードの後の式は関数の戻り値です。 module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » return » return","id":"89","title":"return"},"9":{"body":"ジェネリクスによるコード生成は従来のパラメータオーバーライドよりさらに再利用性の高いコードを記述することができます。以下の例のような関数のパラメータだけでなく、インスタンスのモジュール名や構造体定義の型名もパラメータ化することができます。 SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"特徴 » ジェネリクス","id":"9","title":"ジェネリクス"},"90":{"body":"let 文はある名前に値を束縛します。これは always_ff 、 always_comb および関数宣言の中で使うことができます。 let 文はブロック中のどこにでも置くことができます。 module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » let » let","id":"90","title":"let"},"91":{"body":"この章では宣言について説明します。","breadcrumbs":"Language Reference » 宣言 » 宣言","id":"91","title":"宣言"},"92":{"body":"変数宣言は var キーワードで始まり、変数名、:、変数の型と続きます。 未使用の変数は警告が発生します。_ で始まる変数名は未使用変数を意味し、警告を抑制します。 宣言時に名前に値を束縛する場合は var の代わりに let を使います。 module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » 変数 » 変数","id":"92","title":"変数"},"93":{"body":"パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。 module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » パラメータ » パラメータ","id":"93","title":"パラメータ"},"94":{"body":"レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。 if_reset は always_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml の [build] セクションで設定できます。 モジュール内にクロックとリセットが1つしかない場合、クロックとリセットの指定は省略できます。 module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; var c: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } } always_ff { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » レジスタ » レジスタ","id":"94","title":"レジスタ"},"95":{"body":"always_comb で代入される変数は組み合わせ回路を意味します。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » 組み合わせ回路 » 組み合わせ回路","id":"95","title":"組み合わせ回路"},"96":{"body":"assign 宣言で変数に式を代入することができます。 module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » assign » assign","id":"96","title":"assign"},"97":{"body":"関数は function キーワードで宣言できます。引数は () 内に書き、戻り値の型を -> の後に書きます。 関数が戻り値を持たない場合、-> は省略できます。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » 関数 » 関数","id":"97","title":"関数"},"98":{"body":"initial ブロック内の文はシミュレーション開始時に実行され、final は終了時です。どちらも論理合成では無視され、デバッグやアサーションに使うことができます。 module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » initial / final » initial / final","id":"98","title":"initial / final"},"99":{"body":"アトリビュートは変数宣言などいくつかの宣言に注釈を付けることができます。","breadcrumbs":"Language Reference » Declaration » アトリビュート » アトリビュート","id":"99","title":"アトリビュート"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":47,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":2.0},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.0},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":1.7320508075688772},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":1.7320508075688772}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":1,"docs":{"164":{"tf":2.23606797749979}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.4142135623730951},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.0},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.0}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.4142135623730951},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.4142135623730951},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.4142135623730951},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":1.7320508075688772}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":4.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.4142135623730951},"155":{"tf":1.7320508075688772},"156":{"tf":1.0},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":6,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"65":{"tf":2.449489742783178},"70":{"tf":2.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.4142135623730951},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":1.7320508075688772},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":47,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":2.0},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":27,"docs":{"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":2.23606797749979},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":6,"docs":{"28":{"tf":1.0},"29":{"tf":2.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":15,"docs":{"164":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":14,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"167":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.7320508075688772},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":11,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}},"t":{"df":13,"docs":{"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.449489742783178}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.7320508075688772},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.7320508075688772},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.7320508075688772},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":2.0}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":14,"docs":{"167":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":8,"docs":{"167":{"tf":4.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.7320508075688772},"155":{"tf":1.7320508075688772},"156":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":18,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":2.8284271247461903},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.23606797749979},"71":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.7320508075688772},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":2.0},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"96":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"128":{"tf":1.0}}},"df":0,"docs":{}}}}},"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"140":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"14":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"136":{"tf":1.0}}}},"df":0,"docs":{}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"122":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"121":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"139":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":2,"docs":{"138":{"tf":1.0},"156":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":1,"docs":{"65":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"123":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}} \ No newline at end of file diff --git a/nightly/book/print.html b/nightly/book/print.html index 3ef748f7..714db9f6 100644 --- a/nightly/book/print.html +++ b/nightly/book/print.html @@ -394,7 +394,8 @@

Build Code

// variable declaration var r_data0: logic<ParamA>; var r_data1: logic<ParamA>; + var r_data2: logic<ParamA>; // value binding let _w_data2: logic<ParamA> = i_data; @@ -857,6 +859,12 @@

Build Code

r_data1 = r_data0; } + // clock and reset can be omitted + // if there is a single clock and reset in the module + always_ff { + r_data2 = r_data1; + } + assign o_data = r_data1; } @@ -1698,12 +1706,14 @@

Typedef

If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml.

+

If there is a single clock and reset in the module, clock and reset specification can be omitted.

module ModuleA (
     i_clk: input clock,
     i_rst: input reset,
 ) {
     var a: logic<10>;
     var b: logic<10>;
+    var c: logic<10>;
 
     always_ff (i_clk) {
         a = 1;
@@ -1716,6 +1726,14 @@ 

Typedef

b = 1; } } + + always_ff { + if_reset { + c = 0; + } else { + c = 1; + } + } }

Combinational

diff --git a/nightly/book/searchindex.js b/nightly/book/searchindex.js index b705f4bd..cc4d4dbc 100644 --- a/nightly/book/searchindex.js +++ b/nightly/book/searchindex.js @@ -1 +1 @@ -Object.assign(window.search, {"doc_urls":["01_introduction.html#the-veryl-hardware-description-language","01_introduction.html#optimized-syntax","01_introduction.html#interoperability","01_introduction.html#productivity","02_features.html#features","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#getting-started","03_getting_started/01_installation.html#installation","03_getting_started/01_installation.html#requirement","03_getting_started/01_installation.html#choose-a-way-of-installation","03_getting_started/01_installation.html#download-binary","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#editor-integration","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#other-editors","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#create-project","03_getting_started/02_hello_world.html#write-code","03_getting_started/02_hello_world.html#build-code","04_code_examples.html#code-examples","04_code_examples/01_module.html#module","04_code_examples/02_instantiation.html#instantiation","04_code_examples/03_interface.html#interface","04_code_examples/04_package.html#package","05_language_reference.html#language-reference","05_language_reference/01_source_code_structure.html#source-code-structure","05_language_reference/02_lexical_structure.html#lexical-structure","05_language_reference/02_lexical_structure.html#encoding","05_language_reference/02_lexical_structure.html#white-space","05_language_reference/02_lexical_structure.html#comment","05_language_reference/02_lexical_structure.html#documentation-comment","05_language_reference/02_lexical_structure.html#identifier","05_language_reference/02_lexical_structure.html#string","05_language_reference/02_lexical_structure/01_operator.html#operator","05_language_reference/02_lexical_structure/02_number.html#number","05_language_reference/02_lexical_structure/02_number.html#integer","05_language_reference/02_lexical_structure/02_number.html#set-all-bits","05_language_reference/02_lexical_structure/02_number.html#widthless-integer","05_language_reference/02_lexical_structure/02_number.html#set-sized-bits","05_language_reference/02_lexical_structure/02_number.html#floating-point","05_language_reference/02_lexical_structure/03_array_literal.html#array-literal","05_language_reference/03_data_type.html#data-type","05_language_reference/03_data_type/01_builtin_type.html#builtin-type","05_language_reference/03_data_type/01_builtin_type.html#4-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#2-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#integer-type","05_language_reference/03_data_type/01_builtin_type.html#floating-point-type","05_language_reference/03_data_type/01_builtin_type.html#string-type","05_language_reference/03_data_type/01_builtin_type.html#type-type","05_language_reference/03_data_type/02_user_defined_type.html#user-defined-type","05_language_reference/03_data_type/02_user_defined_type.html#struct","05_language_reference/03_data_type/02_user_defined_type.html#enum","05_language_reference/03_data_type/02_user_defined_type.html#union","05_language_reference/03_data_type/02_user_defined_type.html#typedef","05_language_reference/03_data_type/03_array.html#array","05_language_reference/03_data_type/04_clock_reset.html#clock--reset","05_language_reference/04_expression.html#expression","05_language_reference/04_expression/01_operator_precedence.html#operator-precedence","05_language_reference/04_expression/02_function_call.html#function-call","05_language_reference/04_expression/03_concatenation.html#concatenation","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#bit-select","05_language_reference/04_expression/07_range.html#range","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#statement","05_language_reference/05_statement/01_assignment.html#assignment","05_language_reference/05_statement/02_function_call.html#function-call","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#declaration","05_language_reference/06_declaration/01_variable.html#variable","05_language_reference/06_declaration/02_parameter.html#parameter","05_language_reference/06_declaration/03_register.html#register","05_language_reference/06_declaration/04_combinational.html#combinational","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#function","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#attribute","05_language_reference/06_declaration/08_attribute.html#sv-attribute","05_language_reference/06_declaration/09_generate.html#generate","05_language_reference/06_declaration/10_instantiation.html#instantiation","05_language_reference/06_declaration/11_named_block.html#named-block","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#module","05_language_reference/08_interface.html#interface","05_language_reference/09_package.html#package","05_language_reference/10_systemverilog_interoperation.html#systemverilog-interoperation","05_language_reference/11_visibility.html#visibility","05_language_reference/12_foreign_language_integration.html#foreign-language-integration","05_language_reference/12_foreign_language_integration.html#embed-declaration","05_language_reference/12_foreign_language_integration.html#include-declaration","05_language_reference/13_integrated_test.html#integrated-test","05_language_reference/14_generics.html#generics","05_language_reference/14_generics.html#generic-function","05_language_reference/14_generics.html#generic-moduleinterface","05_language_reference/14_generics.html#generic-package","05_language_reference/14_generics.html#generic-struct","06_development_environment.html#development-environment","06_development_environment/01_project_configuration.html#project-configuration","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#dependencies","06_development_environment/02_dependencies.html#usage-of-dependency","06_development_environment/02_dependencies.html#version-requirement","06_development_environment/03_publish_project.html#publish-project","06_development_environment/03_publish_project.html#version-bump","06_development_environment/03_publish_project.html#configuration","06_development_environment/04_directory_layout.html#directory-layout","06_development_environment/04_directory_layout.html#single-source-directory","06_development_environment/04_directory_layout.html#single-source-and-target-directory","06_development_environment/04_directory_layout.html#multi-source-directory","06_development_environment/04_directory_layout.html#about-gitignore","06_development_environment/05_formatter.html#formatter","06_development_environment/06_linter.html#linter","06_development_environment/06_linter.html#the-lintnaming-section","06_development_environment/07_simulator.html#simulator","06_development_environment/07_simulator.html#the-test-section","06_development_environment/07_simulator.html#the-testverilator-section","06_development_environment/07_simulator.html#the-testvcs-section","06_development_environment/07_simulator.html#the-testvivado-section","06_development_environment/08_language_server.html#language-server","06_development_environment/09_compatibility.html#compatibility","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#string-parameter","06_development_environment/10_documentation.html#documentation","06_development_environment/11_github_action.html#github-action","07_appendix.html#appendix","07_appendix/01_formal_syntax.html#formal-syntax","07_appendix/02_semantic_error.html#semantic-error","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":10,"breadcrumbs":5,"title":4},"1":{"body":41,"breadcrumbs":3,"title":2},"10":{"body":38,"breadcrumbs":3,"title":2},"100":{"body":18,"breadcrumbs":6,"title":2},"101":{"body":25,"breadcrumbs":5,"title":1},"102":{"body":58,"breadcrumbs":5,"title":1},"103":{"body":17,"breadcrumbs":7,"title":2},"104":{"body":60,"breadcrumbs":7,"title":2},"105":{"body":84,"breadcrumbs":4,"title":1},"106":{"body":53,"breadcrumbs":4,"title":1},"107":{"body":24,"breadcrumbs":4,"title":1},"108":{"body":30,"breadcrumbs":6,"title":2},"109":{"body":35,"breadcrumbs":4,"title":1},"11":{"body":75,"breadcrumbs":4,"title":3},"110":{"body":0,"breadcrumbs":8,"title":3},"111":{"body":36,"breadcrumbs":7,"title":2},"112":{"body":19,"breadcrumbs":7,"title":2},"113":{"body":72,"breadcrumbs":6,"title":2},"114":{"body":82,"breadcrumbs":4,"title":1},"115":{"body":15,"breadcrumbs":5,"title":2},"116":{"body":17,"breadcrumbs":5,"title":2},"117":{"body":15,"breadcrumbs":5,"title":2},"118":{"body":33,"breadcrumbs":5,"title":2},"119":{"body":10,"breadcrumbs":4,"title":2},"12":{"body":38,"breadcrumbs":3,"title":2},"120":{"body":68,"breadcrumbs":6,"title":2},"121":{"body":8,"breadcrumbs":6,"title":2},"122":{"body":14,"breadcrumbs":6,"title":2},"123":{"body":29,"breadcrumbs":6,"title":2},"124":{"body":37,"breadcrumbs":6,"title":2},"125":{"body":7,"breadcrumbs":6,"title":2},"126":{"body":19,"breadcrumbs":6,"title":2},"127":{"body":10,"breadcrumbs":6,"title":2},"128":{"body":6,"breadcrumbs":6,"title":2},"129":{"body":18,"breadcrumbs":6,"title":2},"13":{"body":42,"breadcrumbs":5,"title":4},"130":{"body":25,"breadcrumbs":6,"title":2},"131":{"body":27,"breadcrumbs":6,"title":2},"132":{"body":36,"breadcrumbs":6,"title":2},"133":{"body":26,"breadcrumbs":6,"title":2},"134":{"body":13,"breadcrumbs":6,"title":2},"135":{"body":10,"breadcrumbs":6,"title":2},"136":{"body":9,"breadcrumbs":6,"title":2},"137":{"body":8,"breadcrumbs":6,"title":2},"138":{"body":10,"breadcrumbs":6,"title":2},"139":{"body":8,"breadcrumbs":6,"title":2},"14":{"body":33,"breadcrumbs":5,"title":4},"140":{"body":8,"breadcrumbs":6,"title":2},"141":{"body":65,"breadcrumbs":4,"title":1},"142":{"body":52,"breadcrumbs":5,"title":2},"143":{"body":85,"breadcrumbs":5,"title":2},"144":{"body":94,"breadcrumbs":6,"title":2},"145":{"body":53,"breadcrumbs":6,"title":2},"146":{"body":36,"breadcrumbs":5,"title":1},"147":{"body":20,"breadcrumbs":6,"title":2},"148":{"body":61,"breadcrumbs":7,"title":3},"149":{"body":39,"breadcrumbs":8,"title":4},"15":{"body":29,"breadcrumbs":3,"title":2},"150":{"body":40,"breadcrumbs":7,"title":3},"151":{"body":17,"breadcrumbs":5,"title":1},"152":{"body":32,"breadcrumbs":4,"title":1},"153":{"body":24,"breadcrumbs":4,"title":1},"154":{"body":430,"breadcrumbs":5,"title":2},"155":{"body":36,"breadcrumbs":4,"title":1},"156":{"body":18,"breadcrumbs":5,"title":2},"157":{"body":20,"breadcrumbs":5,"title":2},"158":{"body":20,"breadcrumbs":5,"title":2},"159":{"body":26,"breadcrumbs":5,"title":2},"16":{"body":40,"breadcrumbs":3,"title":2},"160":{"body":30,"breadcrumbs":6,"title":2},"161":{"body":13,"breadcrumbs":4,"title":1},"162":{"body":0,"breadcrumbs":4,"title":1},"163":{"body":20,"breadcrumbs":5,"title":2},"164":{"body":125,"breadcrumbs":4,"title":1},"165":{"body":106,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":2,"title":1},"167":{"body":2256,"breadcrumbs":5,"title":2},"168":{"body":0,"breadcrumbs":5,"title":2},"169":{"body":0,"breadcrumbs":4,"title":1},"17":{"body":42,"breadcrumbs":5,"title":4},"170":{"body":0,"breadcrumbs":4,"title":1},"171":{"body":0,"breadcrumbs":4,"title":1},"172":{"body":0,"breadcrumbs":4,"title":1},"173":{"body":0,"breadcrumbs":4,"title":1},"174":{"body":0,"breadcrumbs":4,"title":1},"175":{"body":0,"breadcrumbs":4,"title":1},"176":{"body":0,"breadcrumbs":4,"title":1},"177":{"body":0,"breadcrumbs":4,"title":1},"178":{"body":0,"breadcrumbs":4,"title":1},"179":{"body":0,"breadcrumbs":4,"title":1},"18":{"body":31,"breadcrumbs":3,"title":2},"180":{"body":0,"breadcrumbs":4,"title":1},"181":{"body":0,"breadcrumbs":4,"title":1},"182":{"body":0,"breadcrumbs":4,"title":1},"183":{"body":0,"breadcrumbs":4,"title":1},"184":{"body":0,"breadcrumbs":4,"title":1},"185":{"body":0,"breadcrumbs":4,"title":1},"186":{"body":0,"breadcrumbs":4,"title":1},"187":{"body":0,"breadcrumbs":4,"title":1},"188":{"body":0,"breadcrumbs":4,"title":1},"189":{"body":0,"breadcrumbs":4,"title":1},"19":{"body":35,"breadcrumbs":2,"title":1},"190":{"body":0,"breadcrumbs":4,"title":1},"191":{"body":0,"breadcrumbs":4,"title":1},"192":{"body":0,"breadcrumbs":4,"title":1},"193":{"body":0,"breadcrumbs":4,"title":1},"2":{"body":27,"breadcrumbs":2,"title":1},"20":{"body":13,"breadcrumbs":3,"title":2},"21":{"body":42,"breadcrumbs":3,"title":2},"22":{"body":11,"breadcrumbs":4,"title":2},"23":{"body":10,"breadcrumbs":4,"title":1},"24":{"body":9,"breadcrumbs":4,"title":1},"25":{"body":0,"breadcrumbs":6,"title":3},"26":{"body":6,"breadcrumbs":5,"title":2},"27":{"body":7,"breadcrumbs":4,"title":1},"28":{"body":7,"breadcrumbs":5,"title":2},"29":{"body":28,"breadcrumbs":6,"title":3},"3":{"body":46,"breadcrumbs":2,"title":1},"30":{"body":23,"breadcrumbs":5,"title":2},"31":{"body":11,"breadcrumbs":4,"title":1},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":39,"breadcrumbs":6,"title":2},"34":{"body":60,"breadcrumbs":6,"title":2},"35":{"body":64,"breadcrumbs":6,"title":2},"36":{"body":66,"breadcrumbs":4,"title":2},"37":{"body":112,"breadcrumbs":4,"title":1},"38":{"body":100,"breadcrumbs":4,"title":1},"39":{"body":63,"breadcrumbs":4,"title":1},"4":{"body":47,"breadcrumbs":2,"title":1},"40":{"body":26,"breadcrumbs":4,"title":1},"41":{"body":6,"breadcrumbs":4,"title":2},"42":{"body":34,"breadcrumbs":8,"title":3},"43":{"body":10,"breadcrumbs":6,"title":2},"44":{"body":6,"breadcrumbs":5,"title":1},"45":{"body":10,"breadcrumbs":6,"title":2},"46":{"body":17,"breadcrumbs":5,"title":1},"47":{"body":14,"breadcrumbs":6,"title":2},"48":{"body":19,"breadcrumbs":5,"title":1},"49":{"body":7,"breadcrumbs":5,"title":1},"5":{"body":29,"breadcrumbs":4,"title":3},"50":{"body":95,"breadcrumbs":6,"title":1},"51":{"body":0,"breadcrumbs":6,"title":1},"52":{"body":15,"breadcrumbs":6,"title":1},"53":{"body":10,"breadcrumbs":7,"title":2},"54":{"body":29,"breadcrumbs":7,"title":2},"55":{"body":24,"breadcrumbs":8,"title":3},"56":{"body":9,"breadcrumbs":7,"title":2},"57":{"body":35,"breadcrumbs":8,"title":2},"58":{"body":5,"breadcrumbs":6,"title":2},"59":{"body":0,"breadcrumbs":8,"title":2},"6":{"body":18,"breadcrumbs":3,"title":2},"60":{"body":31,"breadcrumbs":12,"title":6},"61":{"body":29,"breadcrumbs":12,"title":6},"62":{"body":32,"breadcrumbs":8,"title":2},"63":{"body":25,"breadcrumbs":9,"title":3},"64":{"body":7,"breadcrumbs":8,"title":2},"65":{"body":23,"breadcrumbs":8,"title":2},"66":{"body":0,"breadcrumbs":10,"title":3},"67":{"body":31,"breadcrumbs":8,"title":1},"68":{"body":37,"breadcrumbs":8,"title":1},"69":{"body":39,"breadcrumbs":8,"title":1},"7":{"body":25,"breadcrumbs":3,"title":2},"70":{"body":23,"breadcrumbs":8,"title":1},"71":{"body":112,"breadcrumbs":6,"title":1},"72":{"body":131,"breadcrumbs":8,"title":2},"73":{"body":12,"breadcrumbs":4,"title":1},"74":{"body":30,"breadcrumbs":7,"title":2},"75":{"body":27,"breadcrumbs":7,"title":2},"76":{"body":28,"breadcrumbs":5,"title":1},"77":{"body":30,"breadcrumbs":3,"title":0},"78":{"body":54,"breadcrumbs":5,"title":1},"79":{"body":29,"breadcrumbs":7,"title":2},"8":{"body":23,"breadcrumbs":3,"title":2},"80":{"body":26,"breadcrumbs":5,"title":1},"81":{"body":30,"breadcrumbs":7,"title":2},"82":{"body":46,"breadcrumbs":7,"title":2},"83":{"body":9,"breadcrumbs":4,"title":1},"84":{"body":76,"breadcrumbs":5,"title":1},"85":{"body":14,"breadcrumbs":7,"title":2},"86":{"body":22,"breadcrumbs":3,"title":0},"87":{"body":32,"breadcrumbs":5,"title":1},"88":{"body":23,"breadcrumbs":3,"title":0},"89":{"body":18,"breadcrumbs":5,"title":1},"9":{"body":80,"breadcrumbs":2,"title":1},"90":{"body":47,"breadcrumbs":3,"title":0},"91":{"body":4,"breadcrumbs":4,"title":1},"92":{"body":62,"breadcrumbs":5,"title":1},"93":{"body":27,"breadcrumbs":5,"title":1},"94":{"body":85,"breadcrumbs":5,"title":1},"95":{"body":17,"breadcrumbs":5,"title":1},"96":{"body":11,"breadcrumbs":5,"title":1},"97":{"body":37,"breadcrumbs":5,"title":1},"98":{"body":20,"breadcrumbs":7,"title":2},"99":{"body":5,"breadcrumbs":5,"title":1}},"docs":{"0":{"body":"Veryl Veryl is a hardware description language based on SystemVerilog, providing the following advantages:","breadcrumbs":"Introduction » The Veryl Hardware Description Language","id":"0","title":"The Veryl Hardware Description Language"},"1":{"body":"Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.","breadcrumbs":"Introduction » Optimized Syntax","id":"1","title":"Optimized Syntax"},"10":{"body":"Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems. SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"Features » Trailing comma","id":"10","title":"Trailing comma"},"100":{"body":"SV attribute represents SystemVerilog attribute. It will be transpiled to SystemVerilog attribute (* *). module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » Attribute » SV Attribute","id":"100","title":"SV Attribute"},"101":{"body":"Declaration can be generated by for and if. Label which is shown by : is required to idenfity the generated declarations. module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // label of else clause can be omit assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » Generate » Generate","id":"101","title":"Generate"},"102":{"body":"inst keyword represents instantiation of modula and interface. The name of instance is placed after inst keyword, and the type of instance is placed after :. Parameter override is #(), and port connection is (). module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // Parameter assignment by name paramB: 10, ) ( a , // Port connection by name bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » Instantiation » Instantiation","id":"102","title":"Instantiation"},"103":{"body":"Label can be added to {} block. The named block has an individual namespace. module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » Named Block » Named Block","id":"103","title":"Named Block"},"104":{"body":"import declaration imports symbols from other packages. It can be placed at the top level or as a module/interface/package item. Wildcard pattern like package::* can be used as an argument of import declaration. // file scope import\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export declaration exports symbols from the package to other. export * represents to export all symbols. package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic. Overridable parameters can be declared in #(). Each parameter declaration is started by param keyword. After the keyword, an identifier, :, the type of the parameter, and a default value are placed. Connection ports can be declared in (). Each port declaration is constructed by an identifier, :, port direction, and the type of the port. The available port directions are: input: input port output: output port inout: bi-directional port modport: modport of interface module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » Module » Module","id":"105","title":"Module"},"106":{"body":"Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions. Overridable parameters are the same as them of module. In interface definitions, modport can be declared. modport can be used as bundled port connection at the port declaration of module. interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » Interface » Interface","id":"106","title":"Interface"},"107":{"body":"Package is one of top level components in source code. Package can organize some declarations like parameter and function. To access an item in a package, :: symbol can be used like PackageA::ParamA. package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » Package » Package","id":"107","title":"Package"},"108":{"body":"If you want to access to items of SystemVerilog, $sv namespace can be used. For example, \"ModuleA\" in SystemVerilog source code can be accessed by $sv::ModuleA. Veryl don't check the existence of the items. module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilog Interoperation » SystemVerilog Interoperation","id":"108","title":"SystemVerilog Interoperation"},"109":{"body":"By default, all top level items of a project (module, interface and package) are private. The \"private\" means they are not visible from other project. pub keyword can be used to specify an item as public to other project. veryl doc will generate documents of public items only. pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » Visibility » Visibility","id":"109","title":"Visibility"},"11":{"body":"There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code. Additionally, explicit clock and reset type enables to check whether clock and reset are correctly connected to registers. SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff (i_clk, i_rst) { if_reset { } else { } }\n}","breadcrumbs":"Features » Abstraction of clock and reset","id":"11","title":"Abstraction of clock and reset"},"110":{"body":"","breadcrumbs":"Language Reference » Foreign Language Integration » Foreign Language Integration","id":"110","title":"Foreign Language Integration"},"111":{"body":"embed declaration can embed the code of foreign languages. The first argument of embed declaration shows the way of embedding. The following ways are supported: inline: expand the code as is The code block are started by lang{{{ and ended by }}}. The following lang specifiers are supported: sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » Foreign Language Integration » embed declaration","id":"111","title":"embed declaration"},"112":{"body":"include declaration can include a file of foreign languages. The first argument is the same as embed declaration, and the second is a relative file path from the source code. include(inline, \"module.sv\");","breadcrumbs":"Language Reference » Foreign Language Integration » include declaration","id":"112","title":"include declaration"},"113":{"body":"Integrated test can be marked by #[test(test_name)] attribute. The marked block will be identified as test, and executed through veryl test command. The top level module of the block must have the same name as the test name. The messages through $info, $warning, $error and $fatal system function are handled by Veryl compiler, and shown as exectution log. The calls of $error and $fatal are treated as test failure. The following example, a SystemVerilog source code embeded by embed declaration are marked as test. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} About RTL simulator used by veryl test, see Simulator .","breadcrumbs":"Language Reference » Integrated Test » Integrated Test","id":"113","title":"Integrated Test"},"114":{"body":"Generics can define parameterized items which can't achieved by parameter override. The following items support generics: function module interface package struct union Each generic definition has generic parameters (often an uppercase letter is used like T) which can be placed as identifier or expression in the definition. Generic parameters are declarated after item's identifier with ::<>. At the usage of generics, actual parameters can be given through ::<>. As the actual parameters, numeric literal and identifier concatenated by :: can be used. Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can't be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.","breadcrumbs":"Language Reference » Generics » Generics","id":"114","title":"Generics"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » Generics » Generic Function","id":"115","title":"Generic Function"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » Generics » Generic Module/Interface","id":"116","title":"Generic Module/Interface"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » Generics » Generic Package","id":"117","title":"Generic Package"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // local defined type can be used // because `TypeA` is accessible at the definition of `StructA` var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » Generics » Generic Struct","id":"118","title":"Generic Struct"},"119":{"body":"In this chapter, we'll discuss about development environment including project configuration and development tools.","breadcrumbs":"Development Environment » Development Environment","id":"119","title":"Development Environment"},"12":{"body":"Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also MarkDown format or waveform descriptions using WaveDrom . SystemVerilog\nVeryl // Comment\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"Features » Documentation comment","id":"12","title":"Documentation comment"},"120":{"body":"[project] --- Defines a project. name --- The name of the project. version --- The version of the project. authors --- The authors of the project. description --- A description of the project. license --- The project license. repository --- URL of the project source repository. [build] --- Build settings. clock_type --- The type of clock. reset_type --- The type of reset. filelist_type --- The type of filelist. target --- The way of output. implicit_parameter_types --- Whether implicit parameter type is enabled. omit_project_prefix --- Whether omit project prefix. strip_comments --- Whether strip comments. [format] --- Format settings. [lint] --- Lint settings. [test] --- Test settings. [publish] --- Publish settings. [dependencies] --- Library dependencies.","breadcrumbs":"Development Environment » Project Configuration » Project Configuration","id":"120","title":"Project Configuration"},"121":{"body":"The first section of Veryl.toml is [project]. The mandatory fields are name and version.","breadcrumbs":"Development Environment » Project Configuration » The [project] section","id":"121","title":"The [project] section"},"122":{"body":"The project name is used as prefix in the generated codes. So the name must start with alphabet or _, and use only alphanumeric charaters or _.","breadcrumbs":"Development Environment » Project Configuration » The name field","id":"122","title":"The name field"},"123":{"body":"The project version should follow Semantic Versioning . The version is constructed by the following three numbers. Major -- increment at incompatible changes Minor -- increment at adding features with backward compatibility Patch -- increment at bug fixes with backward compatibility [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » Project Configuration » The version field","id":"123","title":"The version field"},"124":{"body":"The optional authors field lists in an array the people or organizations that are considered the \"authors\" of the project. The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used. [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » Project Configuration » The authors field","id":"124","title":"The authors field"},"125":{"body":"The description is a short blurb about the project. This should be plane text (not Markdown).","breadcrumbs":"Development Environment » Project Configuration » The description field","id":"125","title":"The description field"},"126":{"body":"The license field contains the name of license that the project is released under. The string should be follow SPDX 2.3 license expression . [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » Project Configuration » The license field","id":"126","title":"The license field"},"127":{"body":"The repository field should be a URL to the source repository for the project. [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » Project Configuration » The repository field","id":"127","title":"The repository field"},"128":{"body":"The [build] section contains the configurations of code generation.","breadcrumbs":"Development Environment » Project Configuration » The [build] section","id":"128","title":"The [build] section"},"129":{"body":"The clock_type field specifies which clock edge is used to drive flip-flop. The available types are below: posedge -- positive edge negedge -- negetive edge","breadcrumbs":"Development Environment » Project Configuration » The clock_type field","id":"129","title":"The clock_type field"},"13":{"body":"There is no dedicated non-blocking assignment operator; within always_ff, non-blocking assignments are inferred, while within always_comb, blocking assignments are inferred. Therefore, various compound assignment operators can be used within always_ff just like within always_comb. SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"Features » Compound assignment operator in always_ff","id":"13","title":"Compound assignment operator in always_ff"},"130":{"body":"The reset_type field specifies reset polarity and synchronisity. The available types are below: async_low -- asynchronous and active low async_high -- asynchronous and active high sync_low -- synchronous and active low sync_high -- synchronous and active high","breadcrumbs":"Development Environment » Project Configuration » The reset_type field","id":"130","title":"The reset_type field"},"131":{"body":"The filelist_type field specifies filelist format. The available types are below: absolute -- plane text filelist including absolute file paths relative -- plane text filelist including relative file paths flgen -- flgen filelist","breadcrumbs":"Development Environment » Project Configuration » The filelist_type field","id":"131","title":"The filelist_type field"},"132":{"body":"The target field specifies where the generated codes will be placed at. The available types are below: source -- as the same directory as the source code directory -- specified directory bundle -- specified file If you want to use directory or bundle, you should specify the target path by path key. [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » Project Configuration » The target field","id":"132","title":"The target field"},"133":{"body":"The implicit_parameter_types field lists the types which will be elided in parameter declaration of the generated codes. This is because some EDA tools don't support parameter declaration with specific types (ex.string). If you want to elide string, you can specify like below: [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » Project Configuration » The implicit_parameter_types field","id":"133","title":"The implicit_parameter_types field"},"134":{"body":"If omit_project_prefix is set to true, the project prefix of module/interface/package name will be omitted. This is false by default. [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » Project Configuration » The omit_project_prefix field","id":"134","title":"The omit_project_prefix field"},"135":{"body":"If strip_comments is set to true, all comments will be stripped. This is false by default. [build]\nstrip_comments = true","breadcrumbs":"Development Environment » Project Configuration » The strip_comments field","id":"135","title":"The strip_comments field"},"136":{"body":"The [format] section contains the configurations of code formatter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [format] section","id":"136","title":"The [format] section"},"137":{"body":"The [lint] section contains the configurations of linter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [lint] section","id":"137","title":"The [lint] section"},"138":{"body":"The [test] section contains the configurations of test by RTL simulator. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [test] section","id":"138","title":"The [test] section"},"139":{"body":"The [publish] section contains the configurations of publishing. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [publish] section","id":"139","title":"The [publish] section"},"14":{"body":"Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions. SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"Features » Individual namespace of enum variant","id":"14","title":"Individual namespace of enum variant"},"140":{"body":"The [dependencies] section contains library dependencies. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [dependencies] section","id":"140","title":"The [dependencies] section"},"141":{"body":"If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies] section in Veryl.toml. The left hand side of entry is path to the dependency, and the right hand side is version. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" By default, the namespace of the dependency is the same as the project name of the dependency. If you want to specify namespace, you can use name field. [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} If you want to use many versions of the same dependency path, you can specify each name. [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » Dependencies » Dependencies","id":"141","title":"Dependencies"},"142":{"body":"After adding dependencies to Veryl.toml, you can use moudle, interface and package in the dependencies. The following example uses delay module in the veryl_sample dependency. module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} Note: The result of play button in the above code is not exact because it doesn't use dependency resolution. Actually the module name becomes veryl_samlle_delay","breadcrumbs":"Development Environment » Dependencies » Usage of dependency","id":"142","title":"Usage of dependency"},"143":{"body":"The version field of [dependencies] section shows version requirement. For example, version = \"0.1.0\" means the latest version which has compatibility with 0.1.0. The compatibility is judged by Semantic Versioning . A version is constructed from the following three parts. MAJOR version when you make incompatible API changes MINOR version when you add functionality in a backwards compatible manner PATCH version when you make backwards compatible bug fixes If MAJOR version is 0, MINOR is interpreted as incompatible changes. If there are 0.1.0 and 0.1.1 and 0.2.0, 0.1.1 will be selected. This is because 0.1.0 is compatible with 0.1.0. 0.1.1 is compatible with 0.1.0. 0.2.0 is not compatible with 0.1.0. 0.1.1 is the latest in the compatible versions. The version field allows other version requirement reprensentation like =0.1.0. Please see version requirement of Rust for detailed information: Specifying Dependencies .","breadcrumbs":"Development Environment » Dependencies » Version Requirement","id":"143","title":"Version Requirement"},"144":{"body":"To publish your project, veryl publish can be used. Publising means to associate a version with a git revision. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish generates Veryl.pub which contains published version information like below. [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" After generating Veryl.pub, publishing sequence is completed by git add, commit and push. The git branch to be committed must be the default branch because Veryl search Veryl.pub in the default branch. $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push If you enable automatic commit by publish_commit in [publish] section of Veryl.toml, git add and commit will be executed after publish. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Publish Project","id":"144","title":"Publish Project"},"145":{"body":"You can bump version with publish at the same time by --bump option. As the same as publish, bump_commit in [publish] section of Veryl.toml can specify automatic commit after bump version. $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Version Bump","id":"145","title":"Version Bump"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" Configuration Value Default Description bump_commit boolean false automatic commit after bump publish_commit boolean false automatic commit after publish bump_commit_mesasge string \"chore: Bump version\" commit message after bump publish_commit_mesasge string \"chore: Publish\" commit message after publish","breadcrumbs":"Development Environment » Publish Project » Configuration","id":"146","title":"Configuration"},"147":{"body":"Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different. In this section, we suggest some directory layout patterns.","breadcrumbs":"Development Environment » Directory Layout » Directory Layout","id":"147","title":"Directory Layout"},"148":{"body":"This pattern contains all sources in src directory. In src, you can configure arbitrary sub directories. $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl gathers all *.veryl files and generates codes at the same directory as the source by default. You can show the behaviour explicitly by the following configuration. [build]\ntarget = \"source\" After veryl build, the directory structure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source directory","id":"148","title":"Single source directory"},"149":{"body":"If you want to place the generated codes into a directory, you can use target configure in [build] section of Veryl.toml. [build]\ntarget = {type = \"directory\", path = \"target\"} The directory layout of this configure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source and target directory","id":"149","title":"Single source and target directory"},"15":{"body":"By adopting the explicit repeat syntax as a repetition description in bit concatenation, readability improves over complex combinations of {}. SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"Features » repeat of concatenation","id":"15","title":"repeat of concatenation"},"150":{"body":"If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure. $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files The generated prj.f lists all generated files. So you can use it along with the existing SystemVerilog filelists.","breadcrumbs":"Development Environment » Directory Layout » Multi source directory","id":"150","title":"Multi source directory"},"151":{"body":"Veryl doesn't provide the default .gitignore. This is because which files should be ignored is different by each projects. The candidates of .gitignore is below: dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » Directory Layout » About .gitignore","id":"151","title":"About .gitignore"},"152":{"body":"Source code can be formatted by veryl fmt command. Alternatively, language server support formatting through textDocument/formatting request. The available configurations are below. These can be specified in [format] section of Veryl.toml. [format]\nindent_width = 4 Configuration Value Description indent_width integer indent width by space","breadcrumbs":"Development Environment » Formatter » Formatter","id":"152","title":"Formatter"},"153":{"body":"Lint check is executed at veryl check or veryl build. Alternatively, language server checks lint in real time. The available configurations are below. These can be specified in [lint] section of Veryl.toml. [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » Linter » Linter","id":"153","title":"Linter"},"154":{"body":"This section contains configurations of naming conventions. Configuration Value Description case_enum case type [1] case style of enum case_function case type [1] case style of function case_instance case type [1] case style of instance case_interface case type [1] case style of interface case_modport case type [1] case style of modport case_module case type [1] case style of module case_package case type [1] case style of package case_parameter case type [1] case style of parameter case_port_inout case type [1] case style of inout port case_port_input case type [1] case style of input port case_port_modport case type [1] case style of modport port case_port_output case type [1] case style of output port case_reg case type [1] case style of register type variable [2] case_struct case type [1] case style of struct case_wire case type [1] case style of wire type variable [3] prefix_enum string prefix of enum prefix_function string prefix of function prefix_instance string prefix of instance prefix_interface string prefix of interface prefix_modport string prefix of modport prefix_module string prefix of module prefix_package string prefix of package prefix_parameter string prefix of parameter prefix_port_inout string prefix of inout port prefix_port_input string prefix of input port prefix_port_modport string prefix of modport port prefix_port_output string prefix of output port prefix_reg string prefix of register type variable [2] prefix_struct string prefix of struct prefix_wire string prefix of wire type variable [3] re_forbidden_enum regex [4] regex forbidden of enum re_forbidden_function regex [4] regex forbidden of function re_forbidden_instance regex [4] regex forbidden of instance re_forbidden_interface regex [4] regex forbidden of interface re_forbidden_modport regex [4] regex forbidden of modport re_forbidden_module regex [4] regex forbidden of module re_forbidden_package regex [4] regex forbidden of package re_forbidden_parameter regex [4] regex forbidden of parameter re_forbidden_port_inout regex [4] regex forbidden of inout port re_forbidden_port_input regex [4] regex forbidden of input port re_forbidden_port_modport regex [4] regex forbidden of modport port re_forbidden_port_output regex [4] regex forbidden of output port re_forbidden_reg regex [4] regex forbidden of register type variable [2] re_forbidden_struct regex [4] regex forbidden of struct re_forbidden_wire regex [4] regex forbidden of wire type variable [3] re_required_enum regex [4] regex required of enum re_required_function regex [4] regex required of function re_required_instance regex [4] regex required of instance re_required_interface regex [4] regex required of interface re_required_modport regex [4] regex required of modport re_required_module regex [4] regex required of module re_required_package regex [4] regex required of package re_required_parameter regex [4] regex required of parameter re_required_port_inout regex [4] regex required of inout port re_required_port_input regex [4] regex required of input port re_required_port_modport regex [4] regex required of modport port re_required_port_output regex [4] regex required of output port re_required_reg regex [4] regex required of register type variable [2] re_required_struct regex [4] regex required of struct re_required_wire regex [4] regex required of wire type variable [3] The available values are \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase Regular expression string like \".*\". The available syntax is here . Register type means that the variable is assigned in always_ff. It will be mapped to flip-flop in synthesis phase. Wire type means that the variable is assigned in always_comb. It will be mapped to wire in synthesis phase.","breadcrumbs":"Development Environment » Linter » The [lint.naming] section","id":"154","title":"The [lint.naming] section"},"155":{"body":"Test by RTL simulator is executed through veryl test. Supported simulators are below: Verilator Synopsys VCS AMD Vivado Simulator Verilator is the default simulator. If no simulator is specified through Veryl.toml and commandline option, it will be used. The available configurations are below. These can be specified in [test] section of Veryl.toml. [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » Simulator » Simulator","id":"155","title":"Simulator"},"156":{"body":"This section contains configurations of test. Configuration Value Description simulator simulator name [1] default simulator The available values are \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » Simulator » The [test] section","id":"156","title":"The [test] section"},"157":{"body":"This section contains configurations of test by Verilator. Configuration Value Description compile_args [string] additional arguments to verilator command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.verilator] section","id":"157","title":"The [test.verilator] section"},"158":{"body":"This section contains configurations of test by VCS. Configuration Value Description compile_args [string] additional arguments to vcs command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.vcs] section","id":"158","title":"The [test.vcs] section"},"159":{"body":"This section contains configurations of test by Vivado. Configuration Value Description compile_args [string] additional arguments to xvlog command elaborate_args [string] additional arguments to xelab command simulate_args [string] additional arguments to xsim command","breadcrumbs":"Development Environment » Simulator » The [test.vivado] section","id":"159","title":"The [test.vivado] section"},"16":{"body":"By adopting if and case expressions instead of the ternary operator, readability improves, especially when comparing a large number of items. SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"Features » if / case expression","id":"16","title":"if / case expression"},"160":{"body":"veryl-ls is a language server binary. If you want to use it, editor configuration or plugin to use it is required. The available configurations are below. These can be specified by each editor's config. Configuration Value Default Description useOperatorCompletion boolean false use operator (e.g. '>:', '>>') completion","breadcrumbs":"Development Environment » Language Server » Language Server","id":"160","title":"Language Server"},"161":{"body":"Some tools supporting SystemVerilog don't support some features. Code generation can be customized by configuration of Veryl.toml to support these tools.","breadcrumbs":"Development Environment » Compatibility » Compatibility","id":"161","title":"Compatibility"},"162":{"body":"","breadcrumbs":"Development Environment » Compatibility » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivado don't support parameter which is typed as string. parameter string a = \"A\"; So you can use implicit_parameter_types like below: [build]\nimplicit_parameter_types = [\"string\"] By the configuration, the generated code becomes like below: parameter a = \"A\";","breadcrumbs":"Development Environment » Compatibility » String parameter","id":"163","title":"String parameter"},"164":{"body":"Documant of project can be generated by veryl doc command. All public modules, interfaces and packages will be listed in it. (See Visibility ) If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used. Waveform description based on WaveDrom is supported too. In a wavedrom code block, the syntax of WaveDrom can be written. Please refer Tutorial for the detailed syntax. /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} The available configurations are below. These can be specified in [doc] section of Veryl.toml. [doc]\npath = \"document\" Configuration Value Default Description path string \"doc\" path to output directory","breadcrumbs":"Development Environment » Documentation » Documentation","id":"164","title":"Documentation"},"165":{"body":"The official GitHub action to download a prebuilt binary of Veryl is provided. https://github.com/marketplace/actions/setup-veryl The examples of GitHub action script are below: Format and build check name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check Publish document through GitHub Pages name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Test by Verilator For this purpose, we provide GitHub action veryl-lang/setup-verilator . name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"Appendix » Appendix","id":"166","title":"Appendix"},"167":{"body":"Veryl's parser is based on parser generator parol . The following syntex definition of parol is formal syntax. %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » Formal Syntax » Formal Syntax","id":"167","title":"Formal Syntax"},"168":{"body":"","breadcrumbs":"Appendix » Semantic Error » Semantic Error","id":"168","title":"Semantic Error"},"169":{"body":"","breadcrumbs":"Appendix » Semantic Error » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"With notation representing closed intervals ..= and half-open intervals .., it is possible to uniformly describe ranges using for, inside, and outside (which denotes the inverse of inside). SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"Features » Range-based for / inside / outside","id":"17","title":"Range-based for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"The msb notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer. SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"Features » msb notation","id":"18","title":"msb notation"},"180":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » Semantic Error » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"There is a dedicated let statement available for binding values simultaneously with variable declaration, which can be used in various contexts that were not supported in SystemVerilog. SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"Features » let statement","id":"19","title":"let statement"},"190":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » Semantic Error » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.","breadcrumbs":"Introduction » Interoperability","id":"2","title":"Interoperability"},"20":{"body":"You can define named blocks to limit the scope of variables. SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"Features » Named block","id":"20","title":"Named block"},"21":{"body":"Modules without the pub keyword cannot be referenced from outside the project and are not included in automatic documentation generation. This allows distinguishing between what should be exposed externally from the project and internal implementations. SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} Some browsers by default pause the playback of GIF animations. Please check your browser settings.","breadcrumbs":"Features » Visibility control","id":"21","title":"Visibility control"},"22":{"body":"Let's start to use Veryl. In this section, we will install Veryl, create an example project, and build it.","breadcrumbs":"Getting Started » Getting Started","id":"22","title":"Getting Started"},"23":{"body":"You can install Veryl by downloading binary. If you have Rust development environment, you can use cargo instead of it.","breadcrumbs":"Getting Started » Installation » Installation","id":"23","title":"Installation"},"24":{"body":"Veryl uses git command internally. Please confirm git can be launched.","breadcrumbs":"Getting Started » Installation » Requirement","id":"24","title":"Requirement"},"25":{"body":"","breadcrumbs":"Getting Started » Installation » Choose a way of installation","id":"25","title":"Choose a way of installation"},"26":{"body":"Download from release page , and extract to the directory in PATH.","breadcrumbs":"Getting Started » Installation » Download binary","id":"26","title":"Download binary"},"27":{"body":"You can install with cargo . cargo install veryl veryl-ls","breadcrumbs":"Getting Started » Installation » Cargo","id":"27","title":"Cargo"},"28":{"body":"Visual Studio Code and Vim / Neovim are supported officially.","breadcrumbs":"Getting Started » Installation » Editor integration","id":"28","title":"Editor integration"},"29":{"body":"For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching \"Veryl\" in extension panel or the following URL. Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » Installation » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity. With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.","breadcrumbs":"Introduction » Productivity","id":"3","title":"Productivity"},"30":{"body":"For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL. Vim / Neovim plugin","breadcrumbs":"Getting Started » Installation » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.","breadcrumbs":"Getting Started » Installation » Other Editors","id":"31","title":"Other Editors"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"At first, a new Veryl project can be created by: veryl new hello After the command, the following directory and file will be created. $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml is the project configuration. [project]\nname = \"hello\"\nversion = \"0.1.0\" The description of all configuration is here .","breadcrumbs":"Getting Started » Hello, World! » Create Project","id":"33","title":"Create Project"},"34":{"body":"You can add source codes at an arbitrary position in the project directory. This is because Veryl project can be independent or integrated to other SystemVerilog project. The extension of Veryl's source codes is .veryl. For example, put the following code to src/hello.veryl. module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files Note: Some source codes in the book have play button \"▶\" which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of module ModuleA code.","breadcrumbs":"Getting Started » Hello, World! » Write Code","id":"34","title":"Write Code"},"35":{"body":"You can generate a SystemVerilog code by veryl build. $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files By default, SystemVerilog code will be generated at the same directory as Veryl code. The generated code is src/hello.sv. module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule Additionally, hello.f which is the filelist of generated codes will be generated. You can use it for SystemVerilog compiler. The following example is to use Verilator . $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » Build Code","id":"35","title":"Build Code"},"36":{"body":"Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code. This is a small example. In the following example, comments show the difference with SystemVerilog syntax. module ModuleA ( // name is first, and type is followed after `:` // bit width is represented by `<>` i_data: input logic<10>, o_data: output logic<10>, // use `{}` instead of `begin`/`end`\n) { assign o_data = i_data;\n} Additionally, the codeblocks in this chapter can be edit. Let's try to edit and play each code. A source code of Veryl has some module, interface and package like SystemVerilog. In this chapter, we'll show the some example source codes of them.","breadcrumbs":"Code Examples » Code Examples","id":"36","title":"Code Examples"},"37":{"body":"// module definition\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // trailing comma is allowed\n) ( i_clk : input clock , // `clock` is a special type for clock i_rst : input reset , // `reset` is a special type for reset i_sel : input logic , i_data: input logic [2], // `[]` means unpacked array in SystemVerilog o_data: output logic , // `<>` means packed array in SystemVerilog\n) { // local parameter declaration // `param` is not allowed in module local ParamC: u32 = 10; // variable declaration var r_data0: logic; var r_data1: logic; // value binding let _w_data2: logic = i_data; // always_ff statement with reset // `always_ff` can take a mandatory clock and a optional reset // `if_reset` means `if (i_rst)`. This conceals reset porality // `()` of `if` is not required // `=` in `always_ff` is non-blocking assignment always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // always_ff statement without reset always_ff (i_clk) { r_data1 = r_data0; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » Module » Module","id":"37","title":"Module"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // instance declaration // `inst` keyword starts instance declaration // port connnection can be specified by `()` // each port connection is `[port_name]:[variable]` // `[port_name]` means `[port_name]:[port_name]` inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // instance declaration with parameter override // notation of parameter connection is the same as port inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » Instantiation » Instantiation","id":"38","title":"Instantiation"},"39":{"body":"// interface definition\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport definition modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // port declaration by modport intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // interface instantiation inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » Interface » Interface","id":"39","title":"Interface"},"4":{"body":"In this chapter, we introduce the features of Veryl along with clear examples. Real-time diagnostics Auto formatting Integrated test Dependency management Generics Trailing comma Abstraction of clock and reset Documentation comment Compound assignment operator in always_ff Individual namespace of enum variant repeat of concatenation if / case expression Range-based for / inside / outside msb notation let statement Named block Visibility control","breadcrumbs":"Features » Features","id":"4","title":"Features"},"40":{"body":"// package definition\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » Package » Package","id":"40","title":"Package"},"41":{"body":"In this chapter, we'll discuss the lauguage definition of Veryl.","breadcrumbs":"Language Reference » Language Reference","id":"41","title":"Language Reference"},"42":{"body":"Veryl's source code is composed by some module, interface and package. module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} The name of module, interface and package in the transpiled code will added project name as prefix. In the sample code, project_ will be added. It is to avoid name conflict between projects.","breadcrumbs":"Language Reference » Source Code Structure » Source Code Structure","id":"42","title":"Source Code Structure"},"43":{"body":"This chapter shows the lexical structure of Veryl. At the first, we'll discuss about the general parts in it.","breadcrumbs":"Language Reference » Lexical Structure » Lexical Structure","id":"43","title":"Lexical Structure"},"44":{"body":"The encoding of Veryl source code should be UTF-8.","breadcrumbs":"Language Reference » Lexical Structure » Encoding","id":"44","title":"Encoding"},"45":{"body":"(white space), \\t and \\n are treated as white space. All of them are skipped by Veryl's parser.","breadcrumbs":"Language Reference » Lexical Structure » White Space","id":"45","title":"White Space"},"46":{"body":"Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code. // single line comment /*\nmulti line comment\n*/","breadcrumbs":"Language Reference » Lexical Structure » Comment","id":"46","title":"Comment"},"47":{"body":"Signle line comment starts with /// is treated as documentation comment. Documentation comment is used for document generation. /// documentation comment","breadcrumbs":"Language Reference » Lexical Structure » Documentation comment","id":"47","title":"Documentation comment"},"48":{"body":"Identifier is composed with ASCII alphabet and number and _. Leading number is not allowed. The following regular expression shows the definition. [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » Lexical Structure » Identifier","id":"48","title":"Identifier"},"49":{"body":"String is surrounded by \". Escape by \\ can be used like \\\", \\n and so on. \"Hello, World!\"","breadcrumbs":"Language Reference » Lexical Structure » String","id":"49","title":"String"},"5":{"body":"Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor. In the following example, adding the _ prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings. diagnostics If the video does not play [1]","breadcrumbs":"Features » Real-time diagnostics","id":"5","title":"Real-time diagnostics"},"50":{"body":"Almost all operators are the same as SystemVerilog. Please be careful the some differences. <: less than operator which is the same as < in SystemVerilog. >: greater than operator which is the same as > in SystemVerilog. // unary arithmetic\na = +1;\na = -1; // unary logical\na = !1;\na = ~1; // unary reduce\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // binary arithmetic\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // binary shift\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // binary compare\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // binary bitwise\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // binary logical\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » Operator » Operator","id":"50","title":"Operator"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » Number » Number","id":"51","title":"Number"},"52":{"body":"// integer\n0123456789\n01_23_45_67_89 // binary\n32'b01xzXZ\n32'b01_xz_XZ // octal\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // decimal\n32'd0123456789\n32'd01_23_45_67_89 // hex\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » Number » Integer","id":"52","title":"Integer"},"53":{"body":"// all 0\n'0 // all 1\n'1 // all x\n'x\n'X // all z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » Number » Set all bits","id":"53","title":"Set all bits"},"54":{"body":"The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code. module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Widthless integer","id":"54","title":"Widthless integer"},"55":{"body":"The bit width specification can be added to \"set all bits\". module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Set sized bits","id":"55","title":"Set sized bits"},"56":{"body":"// floating point\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // floating with exponent\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » Number » Floating point","id":"56","title":"Floating point"},"57":{"body":"'{} represents array literal. In the literal, expression, repeat keyword and default keyword can be placed. module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » Array Literal » Array Literal","id":"57","title":"Array Literal"},"58":{"body":"In this chapter, we'll discuss about data type.","breadcrumbs":"Language Reference » Data Type » Data Type","id":"58","title":"Data Type"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » Builtin Type » Builtin Type","id":"59","title":"Builtin Type"},"6":{"body":"In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible. format If the video does not play [1]","breadcrumbs":"Features » Auto formatting","id":"6","title":"Auto formatting"},"60":{"body":"logic is 4-state (0, 1, x, z) data type. The variable width can be specified by <> after logic. Multi-dimentional can be specified by . module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 4-state data type which has variable width","id":"60","title":"4-state data type which has variable width"},"61":{"body":"bit is 2-state (0, 1) data type. The variable width can be specified by <> after bit. Multi-dimentional can be specified by . module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 2-state data type which has variable width","id":"61","title":"2-state data type which has variable width"},"62":{"body":"There are some integer types: u32: 32bit unsigned integer u64: 64bit unsigned integer i32: 32bit signed integer i64: 64bit signed integer module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Integer type","id":"62","title":"Integer type"},"63":{"body":"There are some floating point types: f32: 32bit floating point f64: 64bit floating point Both of them are represented as described by IEEE Std 754. module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Floating point type","id":"63","title":"Floating point type"},"64":{"body":"string is string type. module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » String type","id":"64","title":"String type"},"65":{"body":"type is a type which represents type kind. Variable of type can be defined as param or local only. module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Type type","id":"65","title":"Type type"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » User Defined Type » User Defined Type","id":"66","title":"User Defined Type"},"67":{"body":"struct is composite data type. It can contain some fields, and these fields can be access through . operator. module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Struct","id":"67","title":"Struct"},"68":{"body":"enum is enumerable type. It has some named variant, and the value of enum can be set to the one of them. The variant name can be specified by [enum name]::[variant name]. Each variant has the corresponding integral value. The value can be specified by =. Otherwise, it is assigned automatically. module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Enum","id":"68","title":"Enum"},"69":{"body":"A Veryl union is a packed, untagged sum type and is transpiled to SystemVerilog's packed union. Each union variant should have the same packed width as each other union variant. module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Union","id":"69","title":"Union"},"7":{"body":"Test code written by SystemVerilog can be embeded in Veryl code, it can be executed through veryl test command. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"Features » Integrated test","id":"7","title":"Integrated test"},"70":{"body":"The type keyword can be used to define a type alias to scalar or array types. module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Typedef","id":"70","title":"Typedef"},"71":{"body":"Array can be defined by appending [] to any data type. The length of array can be specified by the value in []. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} Multi-dimentional array can be defined by [X, Y, Z,,,]. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » Array » Array","id":"71","title":"Array"},"72":{"body":"clock is a special types to represent clock wiring. There are 3 variants to specify clock polarity. clock: clock type of which polarity is specified by the build option clock_posedge: clock type of which polarity is positive clock_negedge: clock type of which polarity is negative reset is a special types to represent reset wiring. There are 5 variants to specify reset polarity and synchronicity. reset: reset type of which polarity and synchronicity are specified by the build option reset_async_high: async/high active reset type reset_async_low: async/low active reset type reset_sync_high: sync/active high reset type reset_sync_low: sync/active low reset type If there is no special requirement, clock and reset are recommended for code reusability. module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » Clock / Reset » Clock / Reset","id":"72","title":"Clock / Reset"},"73":{"body":"In this chapter, we'll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.","breadcrumbs":"Language Reference » Expression » Expression","id":"73","title":"Expression"},"74":{"body":"In expression, operator precedence is almost the same as SystemVerilog. Operator Associativity Precedence () [] :: . Left Highest + - ! ~ & ~& | ~| ^ ~^ ^~ (unary) Left ** Left * / % Left + - (binary) Left << >> <<< >>> Left <: <= >: >= Left == != === !== ==? !=? Left & (binary) Left ^ ~^ ^~ (binary) Left | (binary) Left && Left || Left = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= None {} None Lowest","breadcrumbs":"Language Reference » Expression » Operator Precedence » Operator Precedence","id":"74","title":"Operator Precedence"},"75":{"body":"Function can be call by function_name(argument). System function of SystemVerilog like $clog2 can be used too. module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » Function Call » Function Call","id":"75","title":"Function Call"},"76":{"body":"{} represents bit concatenation. In {}, repeat keyword can be used to repeat specified operand. module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » Concatenation » Concatenation","id":"76","title":"Concatenation"},"77":{"body":"Conditional expression using if can be used. The section which represents condition is placed after if keyword, and () is not required surrounding it. else is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » If » If","id":"77","title":"If"},"78":{"body":"Another conditional expression is case. case containts some arms like expression: expression. If the expression after case keyword matches the left expression of an arm, the right expression of the arm will be returned. default is a special arm which will be returned when all other arms are failed. default is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » Case » Case","id":"78","title":"Case"},"79":{"body":"[] is bit select operator. If an expression is specified to [], single bit is selected. Bit range selection can be specified by [expression:expression]. module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » Bit Select » Bit Select","id":"79","title":"Bit Select"},"8":{"body":"Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"Features » Dependency management","id":"8","title":"Dependency management"},"80":{"body":"Range can be specified through range operator. There are two range operator: ..: half-open interval ..=: closed interval Range can be used at some description like for statement. module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » Range » Range","id":"80","title":"Range"},"81":{"body":"msb and lsb can be used in bit selection by []. msb means most significant bit of the operand. lsb means least significant bit of the operand, it is the same as 0. module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » Msb / Lsb » Msb / Lsb","id":"81","title":"Msb / Lsb"},"82":{"body":"inside check the specified expression is inside conditions which are specified in {}. Condition can be single expression or range . If the expression matches any condition, inside will return 1, otherwise 0. outside is vice versa. module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » Inside / Outside » Inside / Outside","id":"82","title":"Inside / Outside"},"83":{"body":"In this chapter, we'll discuss about statement. Statement can be used in some declaration like always_ff, always_comb.","breadcrumbs":"Language Reference » Statement » Statement","id":"83","title":"Statement"},"84":{"body":"Assignment statement is variable = expression;. Unlike SystemVerilog, assignment operator is = in both always_comb and always_ff. There are other assignment operators: +=: addition assignment -=: subtraction assignment *=: multiplication assignment /=: division assignment %=: remainder assignment &=: bitwise AND assignment |=: bitwise OR assignment ^=: bitwise XOR assignment <<=: logical left shift assignment >>=: logical right shift assignment <<<=: arithmetic left shift assignment >>>=: arithmetic right shift assignment module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » Assignment » Assignment","id":"84","title":"Assignment"},"85":{"body":"Function call can be used as statement. In this case, the return value of function will be ignored. module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » Function Call » Function Call","id":"85","title":"Function Call"},"86":{"body":"if can be used as statement. The difference from if expression is that statements are placed in {}. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » If » If","id":"86","title":"If"},"87":{"body":"case can be used as statement. The right-hand of arm is statement. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » Case » Case","id":"87","title":"Case"},"88":{"body":"for statement represent repetition. Loop variable is placed before in keyword, and range is placed after it. break can be used to break the loop. module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » For » For","id":"88","title":"For"},"89":{"body":"return statement represents return from function. The expression after return keyword is the return value of the function. module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » Return » Return","id":"89","title":"Return"},"9":{"body":"Code generation through generics achieves more reusable code than traditional parameter override. Prarmeters in function like the follwoign example, but also module names of instantiation, type names of struct definition, and so on can be parameterized. SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"Features » Generics","id":"9","title":"Generics"},"90":{"body":"let statement represents a name bound to a value. It can be used in always_ff, always_comb and function declaration. let statement can be placed anywhere in block. module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » Let » Let","id":"90","title":"Let"},"91":{"body":"In this chapter, we'll discuss about declaration.","breadcrumbs":"Language Reference » Declaration » Declaration","id":"91","title":"Declaration"},"92":{"body":"Variable declaration is started by var keyword. After var, variable name, :, and the type of the variable are followed. If there are unused variables, warning will be occured. Variable name starting with _ means unused variable, and suppresses the warning. If you want to bind a value to a name at the declaration, let can be used instead of var. module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » Variable » Variable","id":"92","title":"Variable"},"93":{"body":"Parameter can be declarated as the same as variable. param keyword can be used at module header, it can be overridden at instantiation. local keyword can be used in module, it can't be overridden. module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » Parameter » Parameter","id":"93","title":"Parameter"},"94":{"body":"If a variable is assigned in always_ff declaration, it becomes register variable. Register variable will be mapped to flip-flop in synthesis phase. always_ff has mandatory clock variable, optional reset variable, and {} block. Clock and reset are placed in (). The specified clock and reset should have clock / reset type and the witdh of them should be 1bit. if_reset is a special keyword which can be used in always_ff. It means reset condition of the register variable. If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml. module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » Register » Register","id":"94","title":"Register"},"95":{"body":"If a variable is assigned in always_comb declaration, it means combinational circuit. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » Combinational » Combinational","id":"95","title":"Combinational"},"96":{"body":"assign declaration can assign expression to variable. module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » Assign » Assign","id":"96","title":"Assign"},"97":{"body":"Function can be declared by function keyword. Arguments are placed in () and return type is placed after ->. If function doesn't have a return value, -> can be omitted. module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » Function » Function","id":"97","title":"Function"},"98":{"body":"Statements in initial are executed at the beginning of simulation, final is the end. Both will be ignored logical synthesis, and can be used as debug or assertion. module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » Initial / Final » Initial / Final","id":"98","title":"Initial / Final"},"99":{"body":"Attribute can annotate some declarations like variable declaration.","breadcrumbs":"Language Reference » Declaration » Attribute » Attribute","id":"99","title":"Attribute"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"71":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.23606797749979},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.0},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.0},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.0},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.0}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.0},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.7320508075688772},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.449489742783178},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"85":{"tf":1.0},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":14,"docs":{"11":{"tf":2.23606797749979},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.1622776601683795},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.23606797749979}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":34,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.7320508075688772},"34":{"tf":2.8284271247461903},"35":{"tf":2.449489742783178},"36":{"tf":2.23606797749979},"42":{"tf":2.0},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":1.7320508075688772},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.0},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.449489742783178},"47":{"tf":2.23606797749979}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":4,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.4142135623730951},"4":{"tf":1.0},"76":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":26,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.0},"146":{"tf":1.4142135623730951},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.0},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":6,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"71":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":25,"docs":{"101":{"tf":1.4142135623730951},"104":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"99":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":9,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":1.7320508075688772},"141":{"tf":3.1622776601683795},"142":{"tf":2.23606797749979},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.4142135623730951},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.4142135623730951},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":3,"docs":{"119":{"tf":1.7320508075688772},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":10,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.0},"148":{"tf":2.6457513110645907},"149":{"tf":2.23606797749979},"150":{"tf":1.4142135623730951},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.0},"31":{"tf":1.4142135623730951},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.23606797749979},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.23606797749979},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"119":{"tf":1.4142135623730951},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":13,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.23606797749979},"4":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":18,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":1.7320508075688772},"74":{"tf":1.0},"77":{"tf":1.4142135623730951},"78":{"tf":2.6457513110645907},"79":{"tf":1.0},"82":{"tf":1.7320508075688772},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":6,"docs":{"123":{"tf":1.0},"161":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.4142135623730951},"6":{"tf":1.0},"8":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"129":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.0}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.23606797749979}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.4142135623730951},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":1.7320508075688772},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.449489742783178}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":1.7320508075688772},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":1.7320508075688772}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.4142135623730951},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.0}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.1622776601683795},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":1.7320508075688772},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":6,"docs":{"22":{"tf":1.0},"23":{"tf":1.4142135623730951},"25":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.4142135623730951},"54":{"tf":1.4142135623730951},"62":{"tf":2.449489742783178}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":12,"docs":{"110":{"tf":1.0},"113":{"tf":1.4142135623730951},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.0}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.449489742783178},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.0},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.4142135623730951}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":11,"docs":{"0":{"tf":1.4142135623730951},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"147":{"tf":2.0},"149":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"137":{"tf":1.0},"153":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":1.7320508075688772}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.4142135623730951}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.0},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":1.4142135623730951},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":1.7320508075688772},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.4142135623730951},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.4142135623730951},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.4142135623730951},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":5,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":5,"docs":{"101":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"54":{"tf":1.4142135623730951},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":1.7320508075688772},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.0},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.7320508075688772},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":1.7320508075688772},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.23606797749979},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.4142135623730951},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":1.7320508075688772},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.0},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.4142135623730951},"63":{"tf":2.0}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":25,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.1622776601683795},"121":{"tf":1.4142135623730951},"122":{"tf":1.0},"123":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"134":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":1.4142135623730951},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.23606797749979},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":1.7320508075688772},"144":{"tf":3.605551275463989},"145":{"tf":2.23606797749979},"146":{"tf":2.0},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.4142135623730951},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.23606797749979},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"164":{"tf":1.0},"41":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.0}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.0},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.0},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.6457513110645907},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"94":{"tf":2.8284271247461903}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.0},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":4,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.0},"55":{"tf":1.4142135623730951},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":8,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":2.6457513110645907},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.0},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":3,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":8,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":1.4142135623730951},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":1.7320508075688772},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.4142135623730951},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.4142135623730951},"64":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.4142135623730951},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":4,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.0},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.23606797749979},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":2.8284271247461903},"120":{"tf":1.4142135623730951},"138":{"tf":1.7320508075688772},"155":{"tf":2.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":1.7320508075688772}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.0}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":1.4142135623730951},"59":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951},"64":{"tf":1.4142135623730951},"65":{"tf":3.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.449489742783178},"71":{"tf":1.0},"72":{"tf":3.1622776601683795},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.449489742783178}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.0}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"66":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":2.6457513110645907},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.23606797749979},"141":{"tf":2.23606797749979},"143":{"tf":3.872983346207417},"144":{"tf":1.7320508075688772},"145":{"tf":2.23606797749979},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":1.7320508075688772},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.0}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.7320508075688772}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.7320508075688772},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.7320508075688772},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":28,"docs":{"166":{"tf":1.7320508075688772},"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":2.0},"70":{"tf":1.0},"71":{"tf":2.449489742783178}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.449489742783178},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.242640687119285},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.449489742783178},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.449489742783178},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":2.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.23606797749979}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.4142135623730951}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.4142135623730951},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":2.0},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.449489742783178},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":2.23606797749979},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":2.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":7,"docs":{"59":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.6457513110645907},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":2.0}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"85":{"tf":1.0},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":14,"docs":{"11":{"tf":2.449489742783178},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.23606797749979}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":38,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":2.0},"34":{"tf":3.0},"35":{"tf":2.6457513110645907},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.449489742783178},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":2.0}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":2.0},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.23606797749979},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.6457513110645907},"47":{"tf":2.449489742783178}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":6,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.7320508075688772},"162":{"tf":1.0},"163":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.7320508075688772},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.7320508075688772},"4":{"tf":1.0},"76":{"tf":2.0}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":40,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.7320508075688772},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.4142135623730951},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.4142135623730951},"146":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.0},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":16,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":2.0},"59":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.4142135623730951},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":29,"docs":{"100":{"tf":1.0},"101":{"tf":1.7320508075688772},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":2.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":2.0},"112":{"tf":2.0},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":2.0},"92":{"tf":1.7320508075688772},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951},"98":{"tf":1.0},"99":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":12,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":2.0},"141":{"tf":3.4641016151377544},"142":{"tf":2.6457513110645907},"143":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":2.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.7320508075688772},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.7320508075688772},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":49,"docs":{"119":{"tf":2.23606797749979},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":11,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.449489742783178},"148":{"tf":3.0},"149":{"tf":2.6457513110645907},"150":{"tf":2.0},"151":{"tf":1.0},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.23606797749979},"164":{"tf":2.449489742783178},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.449489742783178}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.4142135623730951},"3":{"tf":1.0},"31":{"tf":1.7320508075688772},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.449489742783178},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.449489742783178},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.449489742783178},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":48,"docs":{"119":{"tf":2.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":27,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":17,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"40":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":22,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.7320508075688772},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":2.23606797749979},"74":{"tf":1.4142135623730951},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.7320508075688772},"78":{"tf":2.8284271247461903},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":2.0},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":21,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"12":{"tf":1.0},"123":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":2.0},"5":{"tf":1.0},"6":{"tf":1.4142135623730951},"7":{"tf":1.0},"8":{"tf":1.4142135623730951},"9":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.4142135623730951},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"129":{"tf":1.7320508075688772},"130":{"tf":1.7320508075688772},"131":{"tf":1.7320508075688772},"132":{"tf":1.7320508075688772},"133":{"tf":1.7320508075688772},"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":2.0},"63":{"tf":2.23606797749979}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.4142135623730951},"112":{"tf":1.4142135623730951}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.449489742783178}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.7320508075688772},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.449489742783178},"85":{"tf":2.23606797749979},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.8284271247461903}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":2.23606797749979},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.3166247903554},"115":{"tf":1.7320508075688772},"116":{"tf":1.7320508075688772},"117":{"tf":1.7320508075688772},"118":{"tf":1.7320508075688772},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":2.0}}}}},"t":{"df":14,"docs":{"22":{"tf":1.7320508075688772},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.7320508075688772},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.0}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.4641016151377544},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":2.0},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":10,"docs":{"22":{"tf":1.0},"23":{"tf":2.0},"24":{"tf":1.0},"25":{"tf":1.7320508075688772},"26":{"tf":1.0},"27":{"tf":1.7320508075688772},"28":{"tf":1.0},"29":{"tf":1.4142135623730951},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.7320508075688772},"54":{"tf":1.7320508075688772},"62":{"tf":2.6457513110645907}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":14,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":2.0},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.8284271247461903},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.449489742783178},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.7320508075688772},"2":{"tf":1.7320508075688772}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}},"t":{"df":4,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"2":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":85,"docs":{"0":{"tf":1.7320508075688772},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":2.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":5,"docs":{"147":{"tf":2.449489742783178},"148":{"tf":1.0},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"151":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":15,"docs":{"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.449489742783178}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.7320508075688772},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"137":{"tf":1.0},"153":{"tf":1.7320508075688772},"154":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":2.23606797749979}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.449489742783178},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.4142135623730951}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":2.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":2.0},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.7320508075688772},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.7320508075688772},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":10,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.7320508075688772},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":5,"docs":{"101":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"54":{"tf":1.4142135623730951},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":2.0},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.449489742783178},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":2.23606797749979},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":2.0},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.6457513110645907},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":2.23606797749979},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.23606797749979},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.23606797749979}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":39,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.4641016151377544},"121":{"tf":2.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.7320508075688772},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.4142135623730951},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":2.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.449489742783178},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":2.0},"144":{"tf":3.872983346207417},"145":{"tf":2.449489742783178},"146":{"tf":2.23606797749979},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.6457513110645907},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":79,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"164":{"tf":1.0},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.449489742783178}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.23606797749979},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.23606797749979},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.4142135623730951},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.8284271247461903},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.7416573867739413},"94":{"tf":2.8284271247461903}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.8284271247461903},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.7320508075688772},"128":{"tf":1.7320508075688772},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.7320508075688772},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.7320508075688772},"155":{"tf":1.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.7320508075688772},"158":{"tf":1.7320508075688772},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.449489742783178},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":29,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.4142135623730951},"55":{"tf":1.7320508075688772},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":9,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":3.0},"156":{"tf":2.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":5,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.4142135623730951}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.23606797749979},"149":{"tf":1.4142135623730951},"150":{"tf":1.4142135623730951},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":2.0},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":2.0}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":3,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":21,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":2.23606797749979},"84":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"88":{"tf":1.4142135623730951},"89":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.23606797749979},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.7320508075688772},"64":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":2.0},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":18,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.7320508075688772},"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.23606797749979},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":2.0},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.23606797749979},"148":{"tf":1.0},"149":{"tf":2.449489742783178},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":3.1622776601683795},"120":{"tf":1.4142135623730951},"138":{"tf":2.0},"155":{"tf":2.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":2.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.4142135623730951}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":2.0},"59":{"tf":2.0},"60":{"tf":2.23606797749979},"61":{"tf":2.23606797749979},"62":{"tf":2.23606797749979},"63":{"tf":2.23606797749979},"64":{"tf":2.23606797749979},"65":{"tf":3.605551275463989},"66":{"tf":2.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"69":{"tf":1.7320508075688772},"70":{"tf":2.8284271247461903},"71":{"tf":1.4142135623730951},"72":{"tf":3.3166247903554},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.6457513110645907}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.4142135623730951}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":5,"docs":{"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":3.0},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.7320508075688772},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.449489742783178},"141":{"tf":2.23606797749979},"143":{"tf":4.0},"144":{"tf":1.7320508075688772},"145":{"tf":2.449489742783178},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":2.0},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"11":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"57":{"tf":1.0},"71":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"13":{"tf":1.0},"84":{"tf":1.0},"96":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"100":{"tf":1.0},"99":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}},"o":{"df":1,"docs":{"6":{"tf":1.0}}}}}},"b":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":1,"docs":{"17":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"26":{"tf":1.0}}}}},"df":0,"docs":{}},"t":{"df":3,"docs":{"53":{"tf":1.0},"55":{"tf":1.0},"79":{"tf":1.0}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"103":{"tf":1.0},"20":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":2,"docs":{"128":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"145":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":2,"docs":{"75":{"tf":1.0},"85":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"25":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":5,"docs":{"29":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"95":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"10":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"161":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"13":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"15":{"tf":1.0},"76":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"146":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":3,"docs":{"58":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"91":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"66":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":4,"docs":{"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":2,"docs":{"0":{"tf":1.0},"125":{"tf":1.0}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":4,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"47":{"tf":1.0}}}}}}}},"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":1,"docs":{"26":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"28":{"tf":1.0},"31":{"tf":1.0}}}}}}},"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"14":{"tf":1.0},"68":{"tf":1.0}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"168":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"16":{"tf":1.0},"73":{"tf":1.0}}}}}}}}},"f":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":13,"docs":{"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"110":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}},"t":{"df":2,"docs":{"136":{"tf":1.0},"6":{"tf":1.0}},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"115":{"tf":1.0},"75":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":7,"docs":{"101":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"9":{"tf":1.0}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"23":{"tf":1.0},"25":{"tf":1.0}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":2,"docs":{"102":{"tf":1.0},"38":{"tf":1.0}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":3,"docs":{"52":{"tf":1.0},"54":{"tf":1.0},"62":{"tf":1.0}},"r":{"df":4,"docs":{"110":{"tf":1.0},"113":{"tf":1.0},"28":{"tf":1.0},"7":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.0}}}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":4,"docs":{"0":{"tf":1.0},"110":{"tf":1.0},"160":{"tf":1.0},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"153":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"57":{"tf":1.0}}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"8":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":2,"docs":{"105":{"tf":1.0},"37":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":3,"docs":{"103":{"tf":1.0},"122":{"tf":1.0},"20":{"tf":1.0}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"51":{"tf":1.0}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"13":{"tf":1.0},"50":{"tf":1.0},"74":{"tf":1.0}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"107":{"tf":1.0},"117":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"163":{"tf":1.0},"93":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"144":{"tf":1.0},"33":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":2,"docs":{"139":{"tf":1.0},"144":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"41":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":2,"docs":{"143":{"tf":1.0},"24":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":12,"docs":{"121":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"79":{"tf":1.0}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"168":{"tf":1.0}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"160":{"tf":1.0}}}}}},"t":{"df":2,"docs":{"53":{"tf":1.0},"55":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"155":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":2,"docs":{"148":{"tf":1.0},"149":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"19":{"tf":1.0},"83":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":3,"docs":{"163":{"tf":1.0},"49":{"tf":1.0},"64":{"tf":1.0}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"118":{"tf":1.0},"67":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"42":{"tf":1.0},"43":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"x":{"df":2,"docs":{"1":{"tf":1.0},"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"132":{"tf":1.0},"149":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":4,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"156":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"5":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":9,"docs":{"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.4142135623730951},"66":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"69":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"66":{"tf":1.0}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"92":{"tf":1.0}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"109":{"tf":1.0},"21":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"25":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}}); \ No newline at end of file +Object.assign(window.search, {"doc_urls":["01_introduction.html#the-veryl-hardware-description-language","01_introduction.html#optimized-syntax","01_introduction.html#interoperability","01_introduction.html#productivity","02_features.html#features","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#getting-started","03_getting_started/01_installation.html#installation","03_getting_started/01_installation.html#requirement","03_getting_started/01_installation.html#choose-a-way-of-installation","03_getting_started/01_installation.html#download-binary","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#editor-integration","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#other-editors","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#create-project","03_getting_started/02_hello_world.html#write-code","03_getting_started/02_hello_world.html#build-code","04_code_examples.html#code-examples","04_code_examples/01_module.html#module","04_code_examples/02_instantiation.html#instantiation","04_code_examples/03_interface.html#interface","04_code_examples/04_package.html#package","05_language_reference.html#language-reference","05_language_reference/01_source_code_structure.html#source-code-structure","05_language_reference/02_lexical_structure.html#lexical-structure","05_language_reference/02_lexical_structure.html#encoding","05_language_reference/02_lexical_structure.html#white-space","05_language_reference/02_lexical_structure.html#comment","05_language_reference/02_lexical_structure.html#documentation-comment","05_language_reference/02_lexical_structure.html#identifier","05_language_reference/02_lexical_structure.html#string","05_language_reference/02_lexical_structure/01_operator.html#operator","05_language_reference/02_lexical_structure/02_number.html#number","05_language_reference/02_lexical_structure/02_number.html#integer","05_language_reference/02_lexical_structure/02_number.html#set-all-bits","05_language_reference/02_lexical_structure/02_number.html#widthless-integer","05_language_reference/02_lexical_structure/02_number.html#set-sized-bits","05_language_reference/02_lexical_structure/02_number.html#floating-point","05_language_reference/02_lexical_structure/03_array_literal.html#array-literal","05_language_reference/03_data_type.html#data-type","05_language_reference/03_data_type/01_builtin_type.html#builtin-type","05_language_reference/03_data_type/01_builtin_type.html#4-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#2-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#integer-type","05_language_reference/03_data_type/01_builtin_type.html#floating-point-type","05_language_reference/03_data_type/01_builtin_type.html#string-type","05_language_reference/03_data_type/01_builtin_type.html#type-type","05_language_reference/03_data_type/02_user_defined_type.html#user-defined-type","05_language_reference/03_data_type/02_user_defined_type.html#struct","05_language_reference/03_data_type/02_user_defined_type.html#enum","05_language_reference/03_data_type/02_user_defined_type.html#union","05_language_reference/03_data_type/02_user_defined_type.html#typedef","05_language_reference/03_data_type/03_array.html#array","05_language_reference/03_data_type/04_clock_reset.html#clock--reset","05_language_reference/04_expression.html#expression","05_language_reference/04_expression/01_operator_precedence.html#operator-precedence","05_language_reference/04_expression/02_function_call.html#function-call","05_language_reference/04_expression/03_concatenation.html#concatenation","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#bit-select","05_language_reference/04_expression/07_range.html#range","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#statement","05_language_reference/05_statement/01_assignment.html#assignment","05_language_reference/05_statement/02_function_call.html#function-call","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#declaration","05_language_reference/06_declaration/01_variable.html#variable","05_language_reference/06_declaration/02_parameter.html#parameter","05_language_reference/06_declaration/03_register.html#register","05_language_reference/06_declaration/04_combinational.html#combinational","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#function","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#attribute","05_language_reference/06_declaration/08_attribute.html#sv-attribute","05_language_reference/06_declaration/09_generate.html#generate","05_language_reference/06_declaration/10_instantiation.html#instantiation","05_language_reference/06_declaration/11_named_block.html#named-block","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#module","05_language_reference/08_interface.html#interface","05_language_reference/09_package.html#package","05_language_reference/10_systemverilog_interoperation.html#systemverilog-interoperation","05_language_reference/11_visibility.html#visibility","05_language_reference/12_foreign_language_integration.html#foreign-language-integration","05_language_reference/12_foreign_language_integration.html#embed-declaration","05_language_reference/12_foreign_language_integration.html#include-declaration","05_language_reference/13_integrated_test.html#integrated-test","05_language_reference/14_generics.html#generics","05_language_reference/14_generics.html#generic-function","05_language_reference/14_generics.html#generic-moduleinterface","05_language_reference/14_generics.html#generic-package","05_language_reference/14_generics.html#generic-struct","06_development_environment.html#development-environment","06_development_environment/01_project_configuration.html#project-configuration","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#dependencies","06_development_environment/02_dependencies.html#usage-of-dependency","06_development_environment/02_dependencies.html#version-requirement","06_development_environment/03_publish_project.html#publish-project","06_development_environment/03_publish_project.html#version-bump","06_development_environment/03_publish_project.html#configuration","06_development_environment/04_directory_layout.html#directory-layout","06_development_environment/04_directory_layout.html#single-source-directory","06_development_environment/04_directory_layout.html#single-source-and-target-directory","06_development_environment/04_directory_layout.html#multi-source-directory","06_development_environment/04_directory_layout.html#about-gitignore","06_development_environment/05_formatter.html#formatter","06_development_environment/06_linter.html#linter","06_development_environment/06_linter.html#the-lintnaming-section","06_development_environment/07_simulator.html#simulator","06_development_environment/07_simulator.html#the-test-section","06_development_environment/07_simulator.html#the-testverilator-section","06_development_environment/07_simulator.html#the-testvcs-section","06_development_environment/07_simulator.html#the-testvivado-section","06_development_environment/08_language_server.html#language-server","06_development_environment/09_compatibility.html#compatibility","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#string-parameter","06_development_environment/10_documentation.html#documentation","06_development_environment/11_github_action.html#github-action","07_appendix.html#appendix","07_appendix/01_formal_syntax.html#formal-syntax","07_appendix/02_semantic_error.html#semantic-error","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":10,"breadcrumbs":5,"title":4},"1":{"body":41,"breadcrumbs":3,"title":2},"10":{"body":38,"breadcrumbs":3,"title":2},"100":{"body":18,"breadcrumbs":6,"title":2},"101":{"body":25,"breadcrumbs":5,"title":1},"102":{"body":58,"breadcrumbs":5,"title":1},"103":{"body":17,"breadcrumbs":7,"title":2},"104":{"body":60,"breadcrumbs":7,"title":2},"105":{"body":84,"breadcrumbs":4,"title":1},"106":{"body":53,"breadcrumbs":4,"title":1},"107":{"body":24,"breadcrumbs":4,"title":1},"108":{"body":30,"breadcrumbs":6,"title":2},"109":{"body":35,"breadcrumbs":4,"title":1},"11":{"body":79,"breadcrumbs":4,"title":3},"110":{"body":0,"breadcrumbs":8,"title":3},"111":{"body":36,"breadcrumbs":7,"title":2},"112":{"body":19,"breadcrumbs":7,"title":2},"113":{"body":72,"breadcrumbs":6,"title":2},"114":{"body":82,"breadcrumbs":4,"title":1},"115":{"body":15,"breadcrumbs":5,"title":2},"116":{"body":17,"breadcrumbs":5,"title":2},"117":{"body":15,"breadcrumbs":5,"title":2},"118":{"body":33,"breadcrumbs":5,"title":2},"119":{"body":10,"breadcrumbs":4,"title":2},"12":{"body":38,"breadcrumbs":3,"title":2},"120":{"body":68,"breadcrumbs":6,"title":2},"121":{"body":8,"breadcrumbs":6,"title":2},"122":{"body":14,"breadcrumbs":6,"title":2},"123":{"body":29,"breadcrumbs":6,"title":2},"124":{"body":37,"breadcrumbs":6,"title":2},"125":{"body":7,"breadcrumbs":6,"title":2},"126":{"body":19,"breadcrumbs":6,"title":2},"127":{"body":10,"breadcrumbs":6,"title":2},"128":{"body":6,"breadcrumbs":6,"title":2},"129":{"body":18,"breadcrumbs":6,"title":2},"13":{"body":42,"breadcrumbs":5,"title":4},"130":{"body":25,"breadcrumbs":6,"title":2},"131":{"body":27,"breadcrumbs":6,"title":2},"132":{"body":36,"breadcrumbs":6,"title":2},"133":{"body":26,"breadcrumbs":6,"title":2},"134":{"body":13,"breadcrumbs":6,"title":2},"135":{"body":10,"breadcrumbs":6,"title":2},"136":{"body":9,"breadcrumbs":6,"title":2},"137":{"body":8,"breadcrumbs":6,"title":2},"138":{"body":10,"breadcrumbs":6,"title":2},"139":{"body":8,"breadcrumbs":6,"title":2},"14":{"body":33,"breadcrumbs":5,"title":4},"140":{"body":8,"breadcrumbs":6,"title":2},"141":{"body":65,"breadcrumbs":4,"title":1},"142":{"body":52,"breadcrumbs":5,"title":2},"143":{"body":85,"breadcrumbs":5,"title":2},"144":{"body":94,"breadcrumbs":6,"title":2},"145":{"body":53,"breadcrumbs":6,"title":2},"146":{"body":36,"breadcrumbs":5,"title":1},"147":{"body":20,"breadcrumbs":6,"title":2},"148":{"body":61,"breadcrumbs":7,"title":3},"149":{"body":39,"breadcrumbs":8,"title":4},"15":{"body":29,"breadcrumbs":3,"title":2},"150":{"body":40,"breadcrumbs":7,"title":3},"151":{"body":17,"breadcrumbs":5,"title":1},"152":{"body":32,"breadcrumbs":4,"title":1},"153":{"body":24,"breadcrumbs":4,"title":1},"154":{"body":430,"breadcrumbs":5,"title":2},"155":{"body":36,"breadcrumbs":4,"title":1},"156":{"body":18,"breadcrumbs":5,"title":2},"157":{"body":20,"breadcrumbs":5,"title":2},"158":{"body":20,"breadcrumbs":5,"title":2},"159":{"body":26,"breadcrumbs":5,"title":2},"16":{"body":40,"breadcrumbs":3,"title":2},"160":{"body":30,"breadcrumbs":6,"title":2},"161":{"body":13,"breadcrumbs":4,"title":1},"162":{"body":0,"breadcrumbs":4,"title":1},"163":{"body":20,"breadcrumbs":5,"title":2},"164":{"body":125,"breadcrumbs":4,"title":1},"165":{"body":106,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":2,"title":1},"167":{"body":2256,"breadcrumbs":5,"title":2},"168":{"body":0,"breadcrumbs":5,"title":2},"169":{"body":0,"breadcrumbs":4,"title":1},"17":{"body":42,"breadcrumbs":5,"title":4},"170":{"body":0,"breadcrumbs":4,"title":1},"171":{"body":0,"breadcrumbs":4,"title":1},"172":{"body":0,"breadcrumbs":4,"title":1},"173":{"body":0,"breadcrumbs":4,"title":1},"174":{"body":0,"breadcrumbs":4,"title":1},"175":{"body":0,"breadcrumbs":4,"title":1},"176":{"body":0,"breadcrumbs":4,"title":1},"177":{"body":0,"breadcrumbs":4,"title":1},"178":{"body":0,"breadcrumbs":4,"title":1},"179":{"body":0,"breadcrumbs":4,"title":1},"18":{"body":31,"breadcrumbs":3,"title":2},"180":{"body":0,"breadcrumbs":4,"title":1},"181":{"body":0,"breadcrumbs":4,"title":1},"182":{"body":0,"breadcrumbs":4,"title":1},"183":{"body":0,"breadcrumbs":4,"title":1},"184":{"body":0,"breadcrumbs":4,"title":1},"185":{"body":0,"breadcrumbs":4,"title":1},"186":{"body":0,"breadcrumbs":4,"title":1},"187":{"body":0,"breadcrumbs":4,"title":1},"188":{"body":0,"breadcrumbs":4,"title":1},"189":{"body":0,"breadcrumbs":4,"title":1},"19":{"body":35,"breadcrumbs":2,"title":1},"190":{"body":0,"breadcrumbs":4,"title":1},"191":{"body":0,"breadcrumbs":4,"title":1},"192":{"body":0,"breadcrumbs":4,"title":1},"193":{"body":0,"breadcrumbs":4,"title":1},"2":{"body":27,"breadcrumbs":2,"title":1},"20":{"body":13,"breadcrumbs":3,"title":2},"21":{"body":42,"breadcrumbs":3,"title":2},"22":{"body":11,"breadcrumbs":4,"title":2},"23":{"body":10,"breadcrumbs":4,"title":1},"24":{"body":9,"breadcrumbs":4,"title":1},"25":{"body":0,"breadcrumbs":6,"title":3},"26":{"body":6,"breadcrumbs":5,"title":2},"27":{"body":7,"breadcrumbs":4,"title":1},"28":{"body":7,"breadcrumbs":5,"title":2},"29":{"body":28,"breadcrumbs":6,"title":3},"3":{"body":46,"breadcrumbs":2,"title":1},"30":{"body":23,"breadcrumbs":5,"title":2},"31":{"body":11,"breadcrumbs":4,"title":1},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":39,"breadcrumbs":6,"title":2},"34":{"body":60,"breadcrumbs":6,"title":2},"35":{"body":64,"breadcrumbs":6,"title":2},"36":{"body":66,"breadcrumbs":4,"title":2},"37":{"body":125,"breadcrumbs":4,"title":1},"38":{"body":100,"breadcrumbs":4,"title":1},"39":{"body":63,"breadcrumbs":4,"title":1},"4":{"body":47,"breadcrumbs":2,"title":1},"40":{"body":26,"breadcrumbs":4,"title":1},"41":{"body":6,"breadcrumbs":4,"title":2},"42":{"body":34,"breadcrumbs":8,"title":3},"43":{"body":10,"breadcrumbs":6,"title":2},"44":{"body":6,"breadcrumbs":5,"title":1},"45":{"body":10,"breadcrumbs":6,"title":2},"46":{"body":17,"breadcrumbs":5,"title":1},"47":{"body":14,"breadcrumbs":6,"title":2},"48":{"body":19,"breadcrumbs":5,"title":1},"49":{"body":7,"breadcrumbs":5,"title":1},"5":{"body":29,"breadcrumbs":4,"title":3},"50":{"body":95,"breadcrumbs":6,"title":1},"51":{"body":0,"breadcrumbs":6,"title":1},"52":{"body":15,"breadcrumbs":6,"title":1},"53":{"body":10,"breadcrumbs":7,"title":2},"54":{"body":29,"breadcrumbs":7,"title":2},"55":{"body":24,"breadcrumbs":8,"title":3},"56":{"body":9,"breadcrumbs":7,"title":2},"57":{"body":35,"breadcrumbs":8,"title":2},"58":{"body":5,"breadcrumbs":6,"title":2},"59":{"body":0,"breadcrumbs":8,"title":2},"6":{"body":18,"breadcrumbs":3,"title":2},"60":{"body":31,"breadcrumbs":12,"title":6},"61":{"body":29,"breadcrumbs":12,"title":6},"62":{"body":32,"breadcrumbs":8,"title":2},"63":{"body":25,"breadcrumbs":9,"title":3},"64":{"body":7,"breadcrumbs":8,"title":2},"65":{"body":23,"breadcrumbs":8,"title":2},"66":{"body":0,"breadcrumbs":10,"title":3},"67":{"body":31,"breadcrumbs":8,"title":1},"68":{"body":37,"breadcrumbs":8,"title":1},"69":{"body":39,"breadcrumbs":8,"title":1},"7":{"body":25,"breadcrumbs":3,"title":2},"70":{"body":23,"breadcrumbs":8,"title":1},"71":{"body":112,"breadcrumbs":6,"title":1},"72":{"body":131,"breadcrumbs":8,"title":2},"73":{"body":12,"breadcrumbs":4,"title":1},"74":{"body":30,"breadcrumbs":7,"title":2},"75":{"body":27,"breadcrumbs":7,"title":2},"76":{"body":28,"breadcrumbs":5,"title":1},"77":{"body":30,"breadcrumbs":3,"title":0},"78":{"body":54,"breadcrumbs":5,"title":1},"79":{"body":29,"breadcrumbs":7,"title":2},"8":{"body":23,"breadcrumbs":3,"title":2},"80":{"body":26,"breadcrumbs":5,"title":1},"81":{"body":30,"breadcrumbs":7,"title":2},"82":{"body":46,"breadcrumbs":7,"title":2},"83":{"body":9,"breadcrumbs":4,"title":1},"84":{"body":76,"breadcrumbs":5,"title":1},"85":{"body":14,"breadcrumbs":7,"title":2},"86":{"body":22,"breadcrumbs":3,"title":0},"87":{"body":32,"breadcrumbs":5,"title":1},"88":{"body":23,"breadcrumbs":3,"title":0},"89":{"body":18,"breadcrumbs":5,"title":1},"9":{"body":80,"breadcrumbs":2,"title":1},"90":{"body":47,"breadcrumbs":3,"title":0},"91":{"body":4,"breadcrumbs":4,"title":1},"92":{"body":62,"breadcrumbs":5,"title":1},"93":{"body":27,"breadcrumbs":5,"title":1},"94":{"body":102,"breadcrumbs":5,"title":1},"95":{"body":17,"breadcrumbs":5,"title":1},"96":{"body":11,"breadcrumbs":5,"title":1},"97":{"body":37,"breadcrumbs":5,"title":1},"98":{"body":20,"breadcrumbs":7,"title":2},"99":{"body":5,"breadcrumbs":5,"title":1}},"docs":{"0":{"body":"Veryl Veryl is a hardware description language based on SystemVerilog, providing the following advantages:","breadcrumbs":"Introduction » The Veryl Hardware Description Language","id":"0","title":"The Veryl Hardware Description Language"},"1":{"body":"Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.","breadcrumbs":"Introduction » Optimized Syntax","id":"1","title":"Optimized Syntax"},"10":{"body":"Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems. SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"Features » Trailing comma","id":"10","title":"Trailing comma"},"100":{"body":"SV attribute represents SystemVerilog attribute. It will be transpiled to SystemVerilog attribute (* *). module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » Attribute » SV Attribute","id":"100","title":"SV Attribute"},"101":{"body":"Declaration can be generated by for and if. Label which is shown by : is required to idenfity the generated declarations. module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // label of else clause can be omit assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » Generate » Generate","id":"101","title":"Generate"},"102":{"body":"inst keyword represents instantiation of modula and interface. The name of instance is placed after inst keyword, and the type of instance is placed after :. Parameter override is #(), and port connection is (). module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // Parameter assignment by name paramB: 10, ) ( a , // Port connection by name bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » Instantiation » Instantiation","id":"102","title":"Instantiation"},"103":{"body":"Label can be added to {} block. The named block has an individual namespace. module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » Named Block » Named Block","id":"103","title":"Named Block"},"104":{"body":"import declaration imports symbols from other packages. It can be placed at the top level or as a module/interface/package item. Wildcard pattern like package::* can be used as an argument of import declaration. // file scope import\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export declaration exports symbols from the package to other. export * represents to export all symbols. package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic. Overridable parameters can be declared in #(). Each parameter declaration is started by param keyword. After the keyword, an identifier, :, the type of the parameter, and a default value are placed. Connection ports can be declared in (). Each port declaration is constructed by an identifier, :, port direction, and the type of the port. The available port directions are: input: input port output: output port inout: bi-directional port modport: modport of interface module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » Module » Module","id":"105","title":"Module"},"106":{"body":"Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions. Overridable parameters are the same as them of module. In interface definitions, modport can be declared. modport can be used as bundled port connection at the port declaration of module. interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » Interface » Interface","id":"106","title":"Interface"},"107":{"body":"Package is one of top level components in source code. Package can organize some declarations like parameter and function. To access an item in a package, :: symbol can be used like PackageA::ParamA. package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » Package » Package","id":"107","title":"Package"},"108":{"body":"If you want to access to items of SystemVerilog, $sv namespace can be used. For example, \"ModuleA\" in SystemVerilog source code can be accessed by $sv::ModuleA. Veryl don't check the existence of the items. module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilog Interoperation » SystemVerilog Interoperation","id":"108","title":"SystemVerilog Interoperation"},"109":{"body":"By default, all top level items of a project (module, interface and package) are private. The \"private\" means they are not visible from other project. pub keyword can be used to specify an item as public to other project. veryl doc will generate documents of public items only. pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » Visibility » Visibility","id":"109","title":"Visibility"},"11":{"body":"There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code. Additionally, explicit clock and reset type enables to check whether clock and reset are correctly connected to registers. If there is a single clock and reset in the module, the connection can be omitted. SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff { if_reset { } else { } }\n}","breadcrumbs":"Features » Abstraction of clock and reset","id":"11","title":"Abstraction of clock and reset"},"110":{"body":"","breadcrumbs":"Language Reference » Foreign Language Integration » Foreign Language Integration","id":"110","title":"Foreign Language Integration"},"111":{"body":"embed declaration can embed the code of foreign languages. The first argument of embed declaration shows the way of embedding. The following ways are supported: inline: expand the code as is The code block are started by lang{{{ and ended by }}}. The following lang specifiers are supported: sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » Foreign Language Integration » embed declaration","id":"111","title":"embed declaration"},"112":{"body":"include declaration can include a file of foreign languages. The first argument is the same as embed declaration, and the second is a relative file path from the source code. include(inline, \"module.sv\");","breadcrumbs":"Language Reference » Foreign Language Integration » include declaration","id":"112","title":"include declaration"},"113":{"body":"Integrated test can be marked by #[test(test_name)] attribute. The marked block will be identified as test, and executed through veryl test command. The top level module of the block must have the same name as the test name. The messages through $info, $warning, $error and $fatal system function are handled by Veryl compiler, and shown as exectution log. The calls of $error and $fatal are treated as test failure. The following example, a SystemVerilog source code embeded by embed declaration are marked as test. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} About RTL simulator used by veryl test, see Simulator .","breadcrumbs":"Language Reference » Integrated Test » Integrated Test","id":"113","title":"Integrated Test"},"114":{"body":"Generics can define parameterized items which can't achieved by parameter override. The following items support generics: function module interface package struct union Each generic definition has generic parameters (often an uppercase letter is used like T) which can be placed as identifier or expression in the definition. Generic parameters are declarated after item's identifier with ::<>. At the usage of generics, actual parameters can be given through ::<>. As the actual parameters, numeric literal and identifier concatenated by :: can be used. Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can't be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.","breadcrumbs":"Language Reference » Generics » Generics","id":"114","title":"Generics"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » Generics » Generic Function","id":"115","title":"Generic Function"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » Generics » Generic Module/Interface","id":"116","title":"Generic Module/Interface"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » Generics » Generic Package","id":"117","title":"Generic Package"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // local defined type can be used // because `TypeA` is accessible at the definition of `StructA` var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » Generics » Generic Struct","id":"118","title":"Generic Struct"},"119":{"body":"In this chapter, we'll discuss about development environment including project configuration and development tools.","breadcrumbs":"Development Environment » Development Environment","id":"119","title":"Development Environment"},"12":{"body":"Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also MarkDown format or waveform descriptions using WaveDrom . SystemVerilog\nVeryl // Comment\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"Features » Documentation comment","id":"12","title":"Documentation comment"},"120":{"body":"[project] --- Defines a project. name --- The name of the project. version --- The version of the project. authors --- The authors of the project. description --- A description of the project. license --- The project license. repository --- URL of the project source repository. [build] --- Build settings. clock_type --- The type of clock. reset_type --- The type of reset. filelist_type --- The type of filelist. target --- The way of output. implicit_parameter_types --- Whether implicit parameter type is enabled. omit_project_prefix --- Whether omit project prefix. strip_comments --- Whether strip comments. [format] --- Format settings. [lint] --- Lint settings. [test] --- Test settings. [publish] --- Publish settings. [dependencies] --- Library dependencies.","breadcrumbs":"Development Environment » Project Configuration » Project Configuration","id":"120","title":"Project Configuration"},"121":{"body":"The first section of Veryl.toml is [project]. The mandatory fields are name and version.","breadcrumbs":"Development Environment » Project Configuration » The [project] section","id":"121","title":"The [project] section"},"122":{"body":"The project name is used as prefix in the generated codes. So the name must start with alphabet or _, and use only alphanumeric charaters or _.","breadcrumbs":"Development Environment » Project Configuration » The name field","id":"122","title":"The name field"},"123":{"body":"The project version should follow Semantic Versioning . The version is constructed by the following three numbers. Major -- increment at incompatible changes Minor -- increment at adding features with backward compatibility Patch -- increment at bug fixes with backward compatibility [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » Project Configuration » The version field","id":"123","title":"The version field"},"124":{"body":"The optional authors field lists in an array the people or organizations that are considered the \"authors\" of the project. The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used. [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » Project Configuration » The authors field","id":"124","title":"The authors field"},"125":{"body":"The description is a short blurb about the project. This should be plane text (not Markdown).","breadcrumbs":"Development Environment » Project Configuration » The description field","id":"125","title":"The description field"},"126":{"body":"The license field contains the name of license that the project is released under. The string should be follow SPDX 2.3 license expression . [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » Project Configuration » The license field","id":"126","title":"The license field"},"127":{"body":"The repository field should be a URL to the source repository for the project. [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » Project Configuration » The repository field","id":"127","title":"The repository field"},"128":{"body":"The [build] section contains the configurations of code generation.","breadcrumbs":"Development Environment » Project Configuration » The [build] section","id":"128","title":"The [build] section"},"129":{"body":"The clock_type field specifies which clock edge is used to drive flip-flop. The available types are below: posedge -- positive edge negedge -- negetive edge","breadcrumbs":"Development Environment » Project Configuration » The clock_type field","id":"129","title":"The clock_type field"},"13":{"body":"There is no dedicated non-blocking assignment operator; within always_ff, non-blocking assignments are inferred, while within always_comb, blocking assignments are inferred. Therefore, various compound assignment operators can be used within always_ff just like within always_comb. SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"Features » Compound assignment operator in always_ff","id":"13","title":"Compound assignment operator in always_ff"},"130":{"body":"The reset_type field specifies reset polarity and synchronisity. The available types are below: async_low -- asynchronous and active low async_high -- asynchronous and active high sync_low -- synchronous and active low sync_high -- synchronous and active high","breadcrumbs":"Development Environment » Project Configuration » The reset_type field","id":"130","title":"The reset_type field"},"131":{"body":"The filelist_type field specifies filelist format. The available types are below: absolute -- plane text filelist including absolute file paths relative -- plane text filelist including relative file paths flgen -- flgen filelist","breadcrumbs":"Development Environment » Project Configuration » The filelist_type field","id":"131","title":"The filelist_type field"},"132":{"body":"The target field specifies where the generated codes will be placed at. The available types are below: source -- as the same directory as the source code directory -- specified directory bundle -- specified file If you want to use directory or bundle, you should specify the target path by path key. [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » Project Configuration » The target field","id":"132","title":"The target field"},"133":{"body":"The implicit_parameter_types field lists the types which will be elided in parameter declaration of the generated codes. This is because some EDA tools don't support parameter declaration with specific types (ex.string). If you want to elide string, you can specify like below: [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » Project Configuration » The implicit_parameter_types field","id":"133","title":"The implicit_parameter_types field"},"134":{"body":"If omit_project_prefix is set to true, the project prefix of module/interface/package name will be omitted. This is false by default. [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » Project Configuration » The omit_project_prefix field","id":"134","title":"The omit_project_prefix field"},"135":{"body":"If strip_comments is set to true, all comments will be stripped. This is false by default. [build]\nstrip_comments = true","breadcrumbs":"Development Environment » Project Configuration » The strip_comments field","id":"135","title":"The strip_comments field"},"136":{"body":"The [format] section contains the configurations of code formatter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [format] section","id":"136","title":"The [format] section"},"137":{"body":"The [lint] section contains the configurations of linter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [lint] section","id":"137","title":"The [lint] section"},"138":{"body":"The [test] section contains the configurations of test by RTL simulator. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [test] section","id":"138","title":"The [test] section"},"139":{"body":"The [publish] section contains the configurations of publishing. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [publish] section","id":"139","title":"The [publish] section"},"14":{"body":"Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions. SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"Features » Individual namespace of enum variant","id":"14","title":"Individual namespace of enum variant"},"140":{"body":"The [dependencies] section contains library dependencies. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [dependencies] section","id":"140","title":"The [dependencies] section"},"141":{"body":"If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies] section in Veryl.toml. The left hand side of entry is path to the dependency, and the right hand side is version. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" By default, the namespace of the dependency is the same as the project name of the dependency. If you want to specify namespace, you can use name field. [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} If you want to use many versions of the same dependency path, you can specify each name. [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » Dependencies » Dependencies","id":"141","title":"Dependencies"},"142":{"body":"After adding dependencies to Veryl.toml, you can use moudle, interface and package in the dependencies. The following example uses delay module in the veryl_sample dependency. module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} Note: The result of play button in the above code is not exact because it doesn't use dependency resolution. Actually the module name becomes veryl_samlle_delay","breadcrumbs":"Development Environment » Dependencies » Usage of dependency","id":"142","title":"Usage of dependency"},"143":{"body":"The version field of [dependencies] section shows version requirement. For example, version = \"0.1.0\" means the latest version which has compatibility with 0.1.0. The compatibility is judged by Semantic Versioning . A version is constructed from the following three parts. MAJOR version when you make incompatible API changes MINOR version when you add functionality in a backwards compatible manner PATCH version when you make backwards compatible bug fixes If MAJOR version is 0, MINOR is interpreted as incompatible changes. If there are 0.1.0 and 0.1.1 and 0.2.0, 0.1.1 will be selected. This is because 0.1.0 is compatible with 0.1.0. 0.1.1 is compatible with 0.1.0. 0.2.0 is not compatible with 0.1.0. 0.1.1 is the latest in the compatible versions. The version field allows other version requirement reprensentation like =0.1.0. Please see version requirement of Rust for detailed information: Specifying Dependencies .","breadcrumbs":"Development Environment » Dependencies » Version Requirement","id":"143","title":"Version Requirement"},"144":{"body":"To publish your project, veryl publish can be used. Publising means to associate a version with a git revision. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish generates Veryl.pub which contains published version information like below. [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" After generating Veryl.pub, publishing sequence is completed by git add, commit and push. The git branch to be committed must be the default branch because Veryl search Veryl.pub in the default branch. $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push If you enable automatic commit by publish_commit in [publish] section of Veryl.toml, git add and commit will be executed after publish. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Publish Project","id":"144","title":"Publish Project"},"145":{"body":"You can bump version with publish at the same time by --bump option. As the same as publish, bump_commit in [publish] section of Veryl.toml can specify automatic commit after bump version. $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Version Bump","id":"145","title":"Version Bump"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" Configuration Value Default Description bump_commit boolean false automatic commit after bump publish_commit boolean false automatic commit after publish bump_commit_mesasge string \"chore: Bump version\" commit message after bump publish_commit_mesasge string \"chore: Publish\" commit message after publish","breadcrumbs":"Development Environment » Publish Project » Configuration","id":"146","title":"Configuration"},"147":{"body":"Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different. In this section, we suggest some directory layout patterns.","breadcrumbs":"Development Environment » Directory Layout » Directory Layout","id":"147","title":"Directory Layout"},"148":{"body":"This pattern contains all sources in src directory. In src, you can configure arbitrary sub directories. $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl gathers all *.veryl files and generates codes at the same directory as the source by default. You can show the behaviour explicitly by the following configuration. [build]\ntarget = \"source\" After veryl build, the directory structure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source directory","id":"148","title":"Single source directory"},"149":{"body":"If you want to place the generated codes into a directory, you can use target configure in [build] section of Veryl.toml. [build]\ntarget = {type = \"directory\", path = \"target\"} The directory layout of this configure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source and target directory","id":"149","title":"Single source and target directory"},"15":{"body":"By adopting the explicit repeat syntax as a repetition description in bit concatenation, readability improves over complex combinations of {}. SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"Features » repeat of concatenation","id":"15","title":"repeat of concatenation"},"150":{"body":"If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure. $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files The generated prj.f lists all generated files. So you can use it along with the existing SystemVerilog filelists.","breadcrumbs":"Development Environment » Directory Layout » Multi source directory","id":"150","title":"Multi source directory"},"151":{"body":"Veryl doesn't provide the default .gitignore. This is because which files should be ignored is different by each projects. The candidates of .gitignore is below: dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » Directory Layout » About .gitignore","id":"151","title":"About .gitignore"},"152":{"body":"Source code can be formatted by veryl fmt command. Alternatively, language server support formatting through textDocument/formatting request. The available configurations are below. These can be specified in [format] section of Veryl.toml. [format]\nindent_width = 4 Configuration Value Description indent_width integer indent width by space","breadcrumbs":"Development Environment » Formatter » Formatter","id":"152","title":"Formatter"},"153":{"body":"Lint check is executed at veryl check or veryl build. Alternatively, language server checks lint in real time. The available configurations are below. These can be specified in [lint] section of Veryl.toml. [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » Linter » Linter","id":"153","title":"Linter"},"154":{"body":"This section contains configurations of naming conventions. Configuration Value Description case_enum case type [1] case style of enum case_function case type [1] case style of function case_instance case type [1] case style of instance case_interface case type [1] case style of interface case_modport case type [1] case style of modport case_module case type [1] case style of module case_package case type [1] case style of package case_parameter case type [1] case style of parameter case_port_inout case type [1] case style of inout port case_port_input case type [1] case style of input port case_port_modport case type [1] case style of modport port case_port_output case type [1] case style of output port case_reg case type [1] case style of register type variable [2] case_struct case type [1] case style of struct case_wire case type [1] case style of wire type variable [3] prefix_enum string prefix of enum prefix_function string prefix of function prefix_instance string prefix of instance prefix_interface string prefix of interface prefix_modport string prefix of modport prefix_module string prefix of module prefix_package string prefix of package prefix_parameter string prefix of parameter prefix_port_inout string prefix of inout port prefix_port_input string prefix of input port prefix_port_modport string prefix of modport port prefix_port_output string prefix of output port prefix_reg string prefix of register type variable [2] prefix_struct string prefix of struct prefix_wire string prefix of wire type variable [3] re_forbidden_enum regex [4] regex forbidden of enum re_forbidden_function regex [4] regex forbidden of function re_forbidden_instance regex [4] regex forbidden of instance re_forbidden_interface regex [4] regex forbidden of interface re_forbidden_modport regex [4] regex forbidden of modport re_forbidden_module regex [4] regex forbidden of module re_forbidden_package regex [4] regex forbidden of package re_forbidden_parameter regex [4] regex forbidden of parameter re_forbidden_port_inout regex [4] regex forbidden of inout port re_forbidden_port_input regex [4] regex forbidden of input port re_forbidden_port_modport regex [4] regex forbidden of modport port re_forbidden_port_output regex [4] regex forbidden of output port re_forbidden_reg regex [4] regex forbidden of register type variable [2] re_forbidden_struct regex [4] regex forbidden of struct re_forbidden_wire regex [4] regex forbidden of wire type variable [3] re_required_enum regex [4] regex required of enum re_required_function regex [4] regex required of function re_required_instance regex [4] regex required of instance re_required_interface regex [4] regex required of interface re_required_modport regex [4] regex required of modport re_required_module regex [4] regex required of module re_required_package regex [4] regex required of package re_required_parameter regex [4] regex required of parameter re_required_port_inout regex [4] regex required of inout port re_required_port_input regex [4] regex required of input port re_required_port_modport regex [4] regex required of modport port re_required_port_output regex [4] regex required of output port re_required_reg regex [4] regex required of register type variable [2] re_required_struct regex [4] regex required of struct re_required_wire regex [4] regex required of wire type variable [3] The available values are \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase Regular expression string like \".*\". The available syntax is here . Register type means that the variable is assigned in always_ff. It will be mapped to flip-flop in synthesis phase. Wire type means that the variable is assigned in always_comb. It will be mapped to wire in synthesis phase.","breadcrumbs":"Development Environment » Linter » The [lint.naming] section","id":"154","title":"The [lint.naming] section"},"155":{"body":"Test by RTL simulator is executed through veryl test. Supported simulators are below: Verilator Synopsys VCS AMD Vivado Simulator Verilator is the default simulator. If no simulator is specified through Veryl.toml and commandline option, it will be used. The available configurations are below. These can be specified in [test] section of Veryl.toml. [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » Simulator » Simulator","id":"155","title":"Simulator"},"156":{"body":"This section contains configurations of test. Configuration Value Description simulator simulator name [1] default simulator The available values are \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » Simulator » The [test] section","id":"156","title":"The [test] section"},"157":{"body":"This section contains configurations of test by Verilator. Configuration Value Description compile_args [string] additional arguments to verilator command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.verilator] section","id":"157","title":"The [test.verilator] section"},"158":{"body":"This section contains configurations of test by VCS. Configuration Value Description compile_args [string] additional arguments to vcs command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.vcs] section","id":"158","title":"The [test.vcs] section"},"159":{"body":"This section contains configurations of test by Vivado. Configuration Value Description compile_args [string] additional arguments to xvlog command elaborate_args [string] additional arguments to xelab command simulate_args [string] additional arguments to xsim command","breadcrumbs":"Development Environment » Simulator » The [test.vivado] section","id":"159","title":"The [test.vivado] section"},"16":{"body":"By adopting if and case expressions instead of the ternary operator, readability improves, especially when comparing a large number of items. SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"Features » if / case expression","id":"16","title":"if / case expression"},"160":{"body":"veryl-ls is a language server binary. If you want to use it, editor configuration or plugin to use it is required. The available configurations are below. These can be specified by each editor's config. Configuration Value Default Description useOperatorCompletion boolean false use operator (e.g. '>:', '>>') completion","breadcrumbs":"Development Environment » Language Server » Language Server","id":"160","title":"Language Server"},"161":{"body":"Some tools supporting SystemVerilog don't support some features. Code generation can be customized by configuration of Veryl.toml to support these tools.","breadcrumbs":"Development Environment » Compatibility » Compatibility","id":"161","title":"Compatibility"},"162":{"body":"","breadcrumbs":"Development Environment » Compatibility » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivado don't support parameter which is typed as string. parameter string a = \"A\"; So you can use implicit_parameter_types like below: [build]\nimplicit_parameter_types = [\"string\"] By the configuration, the generated code becomes like below: parameter a = \"A\";","breadcrumbs":"Development Environment » Compatibility » String parameter","id":"163","title":"String parameter"},"164":{"body":"Documant of project can be generated by veryl doc command. All public modules, interfaces and packages will be listed in it. (See Visibility ) If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used. Waveform description based on WaveDrom is supported too. In a wavedrom code block, the syntax of WaveDrom can be written. Please refer Tutorial for the detailed syntax. /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} The available configurations are below. These can be specified in [doc] section of Veryl.toml. [doc]\npath = \"document\" Configuration Value Default Description path string \"doc\" path to output directory","breadcrumbs":"Development Environment » Documentation » Documentation","id":"164","title":"Documentation"},"165":{"body":"The official GitHub action to download a prebuilt binary of Veryl is provided. https://github.com/marketplace/actions/setup-veryl The examples of GitHub action script are below: Format and build check name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check Publish document through GitHub Pages name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Test by Verilator For this purpose, we provide GitHub action veryl-lang/setup-verilator . name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"Appendix » Appendix","id":"166","title":"Appendix"},"167":{"body":"Veryl's parser is based on parser generator parol . The following syntex definition of parol is formal syntax. %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » Formal Syntax » Formal Syntax","id":"167","title":"Formal Syntax"},"168":{"body":"","breadcrumbs":"Appendix » Semantic Error » Semantic Error","id":"168","title":"Semantic Error"},"169":{"body":"","breadcrumbs":"Appendix » Semantic Error » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"With notation representing closed intervals ..= and half-open intervals .., it is possible to uniformly describe ranges using for, inside, and outside (which denotes the inverse of inside). SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"Features » Range-based for / inside / outside","id":"17","title":"Range-based for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"The msb notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer. SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"Features » msb notation","id":"18","title":"msb notation"},"180":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » Semantic Error » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"There is a dedicated let statement available for binding values simultaneously with variable declaration, which can be used in various contexts that were not supported in SystemVerilog. SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"Features » let statement","id":"19","title":"let statement"},"190":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » Semantic Error » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.","breadcrumbs":"Introduction » Interoperability","id":"2","title":"Interoperability"},"20":{"body":"You can define named blocks to limit the scope of variables. SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"Features » Named block","id":"20","title":"Named block"},"21":{"body":"Modules without the pub keyword cannot be referenced from outside the project and are not included in automatic documentation generation. This allows distinguishing between what should be exposed externally from the project and internal implementations. SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} Some browsers by default pause the playback of GIF animations. Please check your browser settings.","breadcrumbs":"Features » Visibility control","id":"21","title":"Visibility control"},"22":{"body":"Let's start to use Veryl. In this section, we will install Veryl, create an example project, and build it.","breadcrumbs":"Getting Started » Getting Started","id":"22","title":"Getting Started"},"23":{"body":"You can install Veryl by downloading binary. If you have Rust development environment, you can use cargo instead of it.","breadcrumbs":"Getting Started » Installation » Installation","id":"23","title":"Installation"},"24":{"body":"Veryl uses git command internally. Please confirm git can be launched.","breadcrumbs":"Getting Started » Installation » Requirement","id":"24","title":"Requirement"},"25":{"body":"","breadcrumbs":"Getting Started » Installation » Choose a way of installation","id":"25","title":"Choose a way of installation"},"26":{"body":"Download from release page , and extract to the directory in PATH.","breadcrumbs":"Getting Started » Installation » Download binary","id":"26","title":"Download binary"},"27":{"body":"You can install with cargo . cargo install veryl veryl-ls","breadcrumbs":"Getting Started » Installation » Cargo","id":"27","title":"Cargo"},"28":{"body":"Visual Studio Code and Vim / Neovim are supported officially.","breadcrumbs":"Getting Started » Installation » Editor integration","id":"28","title":"Editor integration"},"29":{"body":"For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching \"Veryl\" in extension panel or the following URL. Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » Installation » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity. With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.","breadcrumbs":"Introduction » Productivity","id":"3","title":"Productivity"},"30":{"body":"For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL. Vim / Neovim plugin","breadcrumbs":"Getting Started » Installation » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.","breadcrumbs":"Getting Started » Installation » Other Editors","id":"31","title":"Other Editors"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"At first, a new Veryl project can be created by: veryl new hello After the command, the following directory and file will be created. $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml is the project configuration. [project]\nname = \"hello\"\nversion = \"0.1.0\" The description of all configuration is here .","breadcrumbs":"Getting Started » Hello, World! » Create Project","id":"33","title":"Create Project"},"34":{"body":"You can add source codes at an arbitrary position in the project directory. This is because Veryl project can be independent or integrated to other SystemVerilog project. The extension of Veryl's source codes is .veryl. For example, put the following code to src/hello.veryl. module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files Note: Some source codes in the book have play button \"▶\" which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of module ModuleA code.","breadcrumbs":"Getting Started » Hello, World! » Write Code","id":"34","title":"Write Code"},"35":{"body":"You can generate a SystemVerilog code by veryl build. $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files By default, SystemVerilog code will be generated at the same directory as Veryl code. The generated code is src/hello.sv. module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule Additionally, hello.f which is the filelist of generated codes will be generated. You can use it for SystemVerilog compiler. The following example is to use Verilator . $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » Build Code","id":"35","title":"Build Code"},"36":{"body":"Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code. This is a small example. In the following example, comments show the difference with SystemVerilog syntax. module ModuleA ( // name is first, and type is followed after `:` // bit width is represented by `<>` i_data: input logic<10>, o_data: output logic<10>, // use `{}` instead of `begin`/`end`\n) { assign o_data = i_data;\n} Additionally, the codeblocks in this chapter can be edit. Let's try to edit and play each code. A source code of Veryl has some module, interface and package like SystemVerilog. In this chapter, we'll show the some example source codes of them.","breadcrumbs":"Code Examples » Code Examples","id":"36","title":"Code Examples"},"37":{"body":"// module definition\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // trailing comma is allowed\n) ( i_clk : input clock , // `clock` is a special type for clock i_rst : input reset , // `reset` is a special type for reset i_sel : input logic , i_data: input logic [2], // `[]` means unpacked array in SystemVerilog o_data: output logic , // `<>` means packed array in SystemVerilog\n) { // local parameter declaration // `param` is not allowed in module local ParamC: u32 = 10; // variable declaration var r_data0: logic; var r_data1: logic; var r_data2: logic; // value binding let _w_data2: logic = i_data; // always_ff statement with reset // `always_ff` can take a mandatory clock and a optional reset // `if_reset` means `if (i_rst)`. This conceals reset porality // `()` of `if` is not required // `=` in `always_ff` is non-blocking assignment always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // always_ff statement without reset always_ff (i_clk) { r_data1 = r_data0; } // clock and reset can be omitted // if there is a single clock and reset in the module always_ff { r_data2 = r_data1; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » Module » Module","id":"37","title":"Module"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // instance declaration // `inst` keyword starts instance declaration // port connnection can be specified by `()` // each port connection is `[port_name]:[variable]` // `[port_name]` means `[port_name]:[port_name]` inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // instance declaration with parameter override // notation of parameter connection is the same as port inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » Instantiation » Instantiation","id":"38","title":"Instantiation"},"39":{"body":"// interface definition\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport definition modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // port declaration by modport intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // interface instantiation inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » Interface » Interface","id":"39","title":"Interface"},"4":{"body":"In this chapter, we introduce the features of Veryl along with clear examples. Real-time diagnostics Auto formatting Integrated test Dependency management Generics Trailing comma Abstraction of clock and reset Documentation comment Compound assignment operator in always_ff Individual namespace of enum variant repeat of concatenation if / case expression Range-based for / inside / outside msb notation let statement Named block Visibility control","breadcrumbs":"Features » Features","id":"4","title":"Features"},"40":{"body":"// package definition\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » Package » Package","id":"40","title":"Package"},"41":{"body":"In this chapter, we'll discuss the lauguage definition of Veryl.","breadcrumbs":"Language Reference » Language Reference","id":"41","title":"Language Reference"},"42":{"body":"Veryl's source code is composed by some module, interface and package. module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} The name of module, interface and package in the transpiled code will added project name as prefix. In the sample code, project_ will be added. It is to avoid name conflict between projects.","breadcrumbs":"Language Reference » Source Code Structure » Source Code Structure","id":"42","title":"Source Code Structure"},"43":{"body":"This chapter shows the lexical structure of Veryl. At the first, we'll discuss about the general parts in it.","breadcrumbs":"Language Reference » Lexical Structure » Lexical Structure","id":"43","title":"Lexical Structure"},"44":{"body":"The encoding of Veryl source code should be UTF-8.","breadcrumbs":"Language Reference » Lexical Structure » Encoding","id":"44","title":"Encoding"},"45":{"body":"(white space), \\t and \\n are treated as white space. All of them are skipped by Veryl's parser.","breadcrumbs":"Language Reference » Lexical Structure » White Space","id":"45","title":"White Space"},"46":{"body":"Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code. // single line comment /*\nmulti line comment\n*/","breadcrumbs":"Language Reference » Lexical Structure » Comment","id":"46","title":"Comment"},"47":{"body":"Signle line comment starts with /// is treated as documentation comment. Documentation comment is used for document generation. /// documentation comment","breadcrumbs":"Language Reference » Lexical Structure » Documentation comment","id":"47","title":"Documentation comment"},"48":{"body":"Identifier is composed with ASCII alphabet and number and _. Leading number is not allowed. The following regular expression shows the definition. [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » Lexical Structure » Identifier","id":"48","title":"Identifier"},"49":{"body":"String is surrounded by \". Escape by \\ can be used like \\\", \\n and so on. \"Hello, World!\"","breadcrumbs":"Language Reference » Lexical Structure » String","id":"49","title":"String"},"5":{"body":"Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor. In the following example, adding the _ prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings. diagnostics If the video does not play [1]","breadcrumbs":"Features » Real-time diagnostics","id":"5","title":"Real-time diagnostics"},"50":{"body":"Almost all operators are the same as SystemVerilog. Please be careful the some differences. <: less than operator which is the same as < in SystemVerilog. >: greater than operator which is the same as > in SystemVerilog. // unary arithmetic\na = +1;\na = -1; // unary logical\na = !1;\na = ~1; // unary reduce\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // binary arithmetic\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // binary shift\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // binary compare\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // binary bitwise\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // binary logical\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » Operator » Operator","id":"50","title":"Operator"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » Number » Number","id":"51","title":"Number"},"52":{"body":"// integer\n0123456789\n01_23_45_67_89 // binary\n32'b01xzXZ\n32'b01_xz_XZ // octal\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // decimal\n32'd0123456789\n32'd01_23_45_67_89 // hex\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » Number » Integer","id":"52","title":"Integer"},"53":{"body":"// all 0\n'0 // all 1\n'1 // all x\n'x\n'X // all z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » Number » Set all bits","id":"53","title":"Set all bits"},"54":{"body":"The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code. module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Widthless integer","id":"54","title":"Widthless integer"},"55":{"body":"The bit width specification can be added to \"set all bits\". module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Set sized bits","id":"55","title":"Set sized bits"},"56":{"body":"// floating point\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // floating with exponent\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » Number » Floating point","id":"56","title":"Floating point"},"57":{"body":"'{} represents array literal. In the literal, expression, repeat keyword and default keyword can be placed. module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » Array Literal » Array Literal","id":"57","title":"Array Literal"},"58":{"body":"In this chapter, we'll discuss about data type.","breadcrumbs":"Language Reference » Data Type » Data Type","id":"58","title":"Data Type"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » Builtin Type » Builtin Type","id":"59","title":"Builtin Type"},"6":{"body":"In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible. format If the video does not play [1]","breadcrumbs":"Features » Auto formatting","id":"6","title":"Auto formatting"},"60":{"body":"logic is 4-state (0, 1, x, z) data type. The variable width can be specified by <> after logic. Multi-dimentional can be specified by . module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 4-state data type which has variable width","id":"60","title":"4-state data type which has variable width"},"61":{"body":"bit is 2-state (0, 1) data type. The variable width can be specified by <> after bit. Multi-dimentional can be specified by . module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 2-state data type which has variable width","id":"61","title":"2-state data type which has variable width"},"62":{"body":"There are some integer types: u32: 32bit unsigned integer u64: 64bit unsigned integer i32: 32bit signed integer i64: 64bit signed integer module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Integer type","id":"62","title":"Integer type"},"63":{"body":"There are some floating point types: f32: 32bit floating point f64: 64bit floating point Both of them are represented as described by IEEE Std 754. module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Floating point type","id":"63","title":"Floating point type"},"64":{"body":"string is string type. module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » String type","id":"64","title":"String type"},"65":{"body":"type is a type which represents type kind. Variable of type can be defined as param or local only. module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Type type","id":"65","title":"Type type"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » User Defined Type » User Defined Type","id":"66","title":"User Defined Type"},"67":{"body":"struct is composite data type. It can contain some fields, and these fields can be access through . operator. module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Struct","id":"67","title":"Struct"},"68":{"body":"enum is enumerable type. It has some named variant, and the value of enum can be set to the one of them. The variant name can be specified by [enum name]::[variant name]. Each variant has the corresponding integral value. The value can be specified by =. Otherwise, it is assigned automatically. module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Enum","id":"68","title":"Enum"},"69":{"body":"A Veryl union is a packed, untagged sum type and is transpiled to SystemVerilog's packed union. Each union variant should have the same packed width as each other union variant. module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Union","id":"69","title":"Union"},"7":{"body":"Test code written by SystemVerilog can be embeded in Veryl code, it can be executed through veryl test command. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"Features » Integrated test","id":"7","title":"Integrated test"},"70":{"body":"The type keyword can be used to define a type alias to scalar or array types. module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Typedef","id":"70","title":"Typedef"},"71":{"body":"Array can be defined by appending [] to any data type. The length of array can be specified by the value in []. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} Multi-dimentional array can be defined by [X, Y, Z,,,]. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » Array » Array","id":"71","title":"Array"},"72":{"body":"clock is a special types to represent clock wiring. There are 3 variants to specify clock polarity. clock: clock type of which polarity is specified by the build option clock_posedge: clock type of which polarity is positive clock_negedge: clock type of which polarity is negative reset is a special types to represent reset wiring. There are 5 variants to specify reset polarity and synchronicity. reset: reset type of which polarity and synchronicity are specified by the build option reset_async_high: async/high active reset type reset_async_low: async/low active reset type reset_sync_high: sync/active high reset type reset_sync_low: sync/active low reset type If there is no special requirement, clock and reset are recommended for code reusability. module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » Clock / Reset » Clock / Reset","id":"72","title":"Clock / Reset"},"73":{"body":"In this chapter, we'll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.","breadcrumbs":"Language Reference » Expression » Expression","id":"73","title":"Expression"},"74":{"body":"In expression, operator precedence is almost the same as SystemVerilog. Operator Associativity Precedence () [] :: . Left Highest + - ! ~ & ~& | ~| ^ ~^ ^~ (unary) Left ** Left * / % Left + - (binary) Left << >> <<< >>> Left <: <= >: >= Left == != === !== ==? !=? Left & (binary) Left ^ ~^ ^~ (binary) Left | (binary) Left && Left || Left = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= None {} None Lowest","breadcrumbs":"Language Reference » Expression » Operator Precedence » Operator Precedence","id":"74","title":"Operator Precedence"},"75":{"body":"Function can be call by function_name(argument). System function of SystemVerilog like $clog2 can be used too. module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » Function Call » Function Call","id":"75","title":"Function Call"},"76":{"body":"{} represents bit concatenation. In {}, repeat keyword can be used to repeat specified operand. module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » Concatenation » Concatenation","id":"76","title":"Concatenation"},"77":{"body":"Conditional expression using if can be used. The section which represents condition is placed after if keyword, and () is not required surrounding it. else is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » If » If","id":"77","title":"If"},"78":{"body":"Another conditional expression is case. case containts some arms like expression: expression. If the expression after case keyword matches the left expression of an arm, the right expression of the arm will be returned. default is a special arm which will be returned when all other arms are failed. default is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » Case » Case","id":"78","title":"Case"},"79":{"body":"[] is bit select operator. If an expression is specified to [], single bit is selected. Bit range selection can be specified by [expression:expression]. module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » Bit Select » Bit Select","id":"79","title":"Bit Select"},"8":{"body":"Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"Features » Dependency management","id":"8","title":"Dependency management"},"80":{"body":"Range can be specified through range operator. There are two range operator: ..: half-open interval ..=: closed interval Range can be used at some description like for statement. module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » Range » Range","id":"80","title":"Range"},"81":{"body":"msb and lsb can be used in bit selection by []. msb means most significant bit of the operand. lsb means least significant bit of the operand, it is the same as 0. module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » Msb / Lsb » Msb / Lsb","id":"81","title":"Msb / Lsb"},"82":{"body":"inside check the specified expression is inside conditions which are specified in {}. Condition can be single expression or range . If the expression matches any condition, inside will return 1, otherwise 0. outside is vice versa. module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » Inside / Outside » Inside / Outside","id":"82","title":"Inside / Outside"},"83":{"body":"In this chapter, we'll discuss about statement. Statement can be used in some declaration like always_ff, always_comb.","breadcrumbs":"Language Reference » Statement » Statement","id":"83","title":"Statement"},"84":{"body":"Assignment statement is variable = expression;. Unlike SystemVerilog, assignment operator is = in both always_comb and always_ff. There are other assignment operators: +=: addition assignment -=: subtraction assignment *=: multiplication assignment /=: division assignment %=: remainder assignment &=: bitwise AND assignment |=: bitwise OR assignment ^=: bitwise XOR assignment <<=: logical left shift assignment >>=: logical right shift assignment <<<=: arithmetic left shift assignment >>>=: arithmetic right shift assignment module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » Assignment » Assignment","id":"84","title":"Assignment"},"85":{"body":"Function call can be used as statement. In this case, the return value of function will be ignored. module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » Function Call » Function Call","id":"85","title":"Function Call"},"86":{"body":"if can be used as statement. The difference from if expression is that statements are placed in {}. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » If » If","id":"86","title":"If"},"87":{"body":"case can be used as statement. The right-hand of arm is statement. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » Case » Case","id":"87","title":"Case"},"88":{"body":"for statement represent repetition. Loop variable is placed before in keyword, and range is placed after it. break can be used to break the loop. module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » For » For","id":"88","title":"For"},"89":{"body":"return statement represents return from function. The expression after return keyword is the return value of the function. module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » Return » Return","id":"89","title":"Return"},"9":{"body":"Code generation through generics achieves more reusable code than traditional parameter override. Prarmeters in function like the follwoign example, but also module names of instantiation, type names of struct definition, and so on can be parameterized. SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"Features » Generics","id":"9","title":"Generics"},"90":{"body":"let statement represents a name bound to a value. It can be used in always_ff, always_comb and function declaration. let statement can be placed anywhere in block. module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » Let » Let","id":"90","title":"Let"},"91":{"body":"In this chapter, we'll discuss about declaration.","breadcrumbs":"Language Reference » Declaration » Declaration","id":"91","title":"Declaration"},"92":{"body":"Variable declaration is started by var keyword. After var, variable name, :, and the type of the variable are followed. If there are unused variables, warning will be occured. Variable name starting with _ means unused variable, and suppresses the warning. If you want to bind a value to a name at the declaration, let can be used instead of var. module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » Variable » Variable","id":"92","title":"Variable"},"93":{"body":"Parameter can be declarated as the same as variable. param keyword can be used at module header, it can be overridden at instantiation. local keyword can be used in module, it can't be overridden. module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » Parameter » Parameter","id":"93","title":"Parameter"},"94":{"body":"If a variable is assigned in always_ff declaration, it becomes register variable. Register variable will be mapped to flip-flop in synthesis phase. always_ff has mandatory clock variable, optional reset variable, and {} block. Clock and reset are placed in (). The specified clock and reset should have clock / reset type and the witdh of them should be 1bit. if_reset is a special keyword which can be used in always_ff. It means reset condition of the register variable. If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml. If there is a single clock and reset in the module, clock and reset specification can be omitted. module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; var c: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } } always_ff { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » Register » Register","id":"94","title":"Register"},"95":{"body":"If a variable is assigned in always_comb declaration, it means combinational circuit. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » Combinational » Combinational","id":"95","title":"Combinational"},"96":{"body":"assign declaration can assign expression to variable. module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » Assign » Assign","id":"96","title":"Assign"},"97":{"body":"Function can be declared by function keyword. Arguments are placed in () and return type is placed after ->. If function doesn't have a return value, -> can be omitted. module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » Function » Function","id":"97","title":"Function"},"98":{"body":"Statements in initial are executed at the beginning of simulation, final is the end. Both will be ignored logical synthesis, and can be used as debug or assertion. module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » Initial / Final » Initial / Final","id":"98","title":"Initial / Final"},"99":{"body":"Attribute can annotate some declarations like variable declaration.","breadcrumbs":"Language Reference » Declaration » Attribute » Attribute","id":"99","title":"Attribute"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"71":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.23606797749979},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.0},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.0},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.0},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.0}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.0},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.7320508075688772},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.449489742783178},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"85":{"tf":1.0},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":14,"docs":{"11":{"tf":2.449489742783178},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.1622776601683795},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.6457513110645907}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":34,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.7320508075688772},"34":{"tf":2.8284271247461903},"35":{"tf":2.449489742783178},"36":{"tf":2.23606797749979},"42":{"tf":2.0},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":1.7320508075688772},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.0},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.449489742783178},"47":{"tf":2.23606797749979}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":4,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.4142135623730951},"4":{"tf":1.0},"76":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":26,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.0},"146":{"tf":1.4142135623730951},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":6,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"71":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":25,"docs":{"101":{"tf":1.4142135623730951},"104":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"99":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":9,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":1.7320508075688772},"141":{"tf":3.1622776601683795},"142":{"tf":2.23606797749979},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.4142135623730951},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.4142135623730951},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":3,"docs":{"119":{"tf":1.7320508075688772},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":10,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.0},"148":{"tf":2.6457513110645907},"149":{"tf":2.23606797749979},"150":{"tf":1.4142135623730951},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.0},"31":{"tf":1.4142135623730951},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.23606797749979},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.23606797749979},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"119":{"tf":1.4142135623730951},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":13,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.23606797749979},"4":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":18,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":1.7320508075688772},"74":{"tf":1.0},"77":{"tf":1.4142135623730951},"78":{"tf":2.6457513110645907},"79":{"tf":1.0},"82":{"tf":1.7320508075688772},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":6,"docs":{"123":{"tf":1.0},"161":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.4142135623730951},"6":{"tf":1.0},"8":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"129":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.0}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.23606797749979}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.4142135623730951},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":1.7320508075688772},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.449489742783178}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":1.7320508075688772},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":1.7320508075688772}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.4142135623730951},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.1622776601683795},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":1.7320508075688772},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":6,"docs":{"22":{"tf":1.0},"23":{"tf":1.4142135623730951},"25":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.4142135623730951},"54":{"tf":1.4142135623730951},"62":{"tf":2.449489742783178}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":12,"docs":{"110":{"tf":1.0},"113":{"tf":1.4142135623730951},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.0}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.449489742783178},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.0},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.4142135623730951}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":11,"docs":{"0":{"tf":1.4142135623730951},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"147":{"tf":2.0},"149":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"137":{"tf":1.0},"153":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":1.7320508075688772}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.4142135623730951}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.0},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":1.4142135623730951},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":1.7320508075688772},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.4142135623730951},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.4142135623730951},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.4142135623730951},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":5,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":8,"docs":{"101":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"37":{"tf":1.0},"54":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":1.7320508075688772},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.0},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.7320508075688772},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":1.7320508075688772},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.23606797749979},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.4142135623730951},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":1.7320508075688772},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.0},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.4142135623730951},"63":{"tf":2.0}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":25,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.1622776601683795},"121":{"tf":1.4142135623730951},"122":{"tf":1.0},"123":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"134":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":1.4142135623730951},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.23606797749979},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":1.7320508075688772},"144":{"tf":3.605551275463989},"145":{"tf":2.23606797749979},"146":{"tf":2.0},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.4142135623730951},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.23606797749979},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"164":{"tf":1.0},"41":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.0}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.0},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.0},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.8284271247461903},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":3.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"94":{"tf":3.1622776601683795}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.0},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":4,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.0},"55":{"tf":1.4142135623730951},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":8,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":2.6457513110645907},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":8,"docs":{"11":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"37":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0},"94":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.0},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":4,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"94":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":8,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":1.4142135623730951},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":1.7320508075688772},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.4142135623730951},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.4142135623730951},"64":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.4142135623730951},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":4,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.0},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.23606797749979},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":2.8284271247461903},"120":{"tf":1.4142135623730951},"138":{"tf":1.7320508075688772},"155":{"tf":2.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":1.7320508075688772}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.0}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":1.4142135623730951},"59":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951},"64":{"tf":1.4142135623730951},"65":{"tf":3.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.449489742783178},"71":{"tf":1.0},"72":{"tf":3.1622776601683795},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.449489742783178}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.0}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"66":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":2.6457513110645907},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.23606797749979},"141":{"tf":2.23606797749979},"143":{"tf":3.872983346207417},"144":{"tf":1.7320508075688772},"145":{"tf":2.23606797749979},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":1.7320508075688772},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.0}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.7320508075688772}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.7320508075688772},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.7320508075688772},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":28,"docs":{"166":{"tf":1.7320508075688772},"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":2.0},"70":{"tf":1.0},"71":{"tf":2.449489742783178}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.449489742783178},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.242640687119285},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.449489742783178},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.449489742783178},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":2.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.23606797749979}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.4142135623730951}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.4142135623730951},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":2.0},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.449489742783178},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":2.23606797749979},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":2.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":7,"docs":{"59":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.6457513110645907},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":2.0}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"85":{"tf":1.0},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":14,"docs":{"11":{"tf":2.6457513110645907},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.6457513110645907}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":38,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":2.0},"34":{"tf":3.0},"35":{"tf":2.6457513110645907},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.449489742783178},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":2.0}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":2.0},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.23606797749979},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.6457513110645907},"47":{"tf":2.449489742783178}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":6,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.7320508075688772},"162":{"tf":1.0},"163":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.7320508075688772},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.7320508075688772},"4":{"tf":1.0},"76":{"tf":2.0}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":40,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.7320508075688772},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.4142135623730951},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.4142135623730951},"146":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":16,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":2.0},"59":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.4142135623730951},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":29,"docs":{"100":{"tf":1.0},"101":{"tf":1.7320508075688772},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":2.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":2.0},"112":{"tf":2.0},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":2.0},"92":{"tf":1.7320508075688772},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951},"98":{"tf":1.0},"99":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":12,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":2.0},"141":{"tf":3.4641016151377544},"142":{"tf":2.6457513110645907},"143":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":2.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.7320508075688772},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.7320508075688772},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":49,"docs":{"119":{"tf":2.23606797749979},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":11,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.449489742783178},"148":{"tf":3.0},"149":{"tf":2.6457513110645907},"150":{"tf":2.0},"151":{"tf":1.0},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.23606797749979},"164":{"tf":2.449489742783178},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.449489742783178}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.4142135623730951},"3":{"tf":1.0},"31":{"tf":1.7320508075688772},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.449489742783178},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.449489742783178},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.449489742783178},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":48,"docs":{"119":{"tf":2.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":27,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":17,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"40":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":22,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.7320508075688772},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":2.23606797749979},"74":{"tf":1.4142135623730951},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.7320508075688772},"78":{"tf":2.8284271247461903},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":2.0},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":21,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"12":{"tf":1.0},"123":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":2.0},"5":{"tf":1.0},"6":{"tf":1.4142135623730951},"7":{"tf":1.0},"8":{"tf":1.4142135623730951},"9":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.4142135623730951},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"129":{"tf":1.7320508075688772},"130":{"tf":1.7320508075688772},"131":{"tf":1.7320508075688772},"132":{"tf":1.7320508075688772},"133":{"tf":1.7320508075688772},"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":2.0},"63":{"tf":2.23606797749979}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.4142135623730951},"112":{"tf":1.4142135623730951}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.449489742783178}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.7320508075688772},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.449489742783178},"85":{"tf":2.23606797749979},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.8284271247461903}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":2.23606797749979},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.3166247903554},"115":{"tf":1.7320508075688772},"116":{"tf":1.7320508075688772},"117":{"tf":1.7320508075688772},"118":{"tf":1.7320508075688772},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":2.0}}}}},"t":{"df":14,"docs":{"22":{"tf":1.7320508075688772},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.7320508075688772},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.4641016151377544},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":2.0},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":10,"docs":{"22":{"tf":1.0},"23":{"tf":2.0},"24":{"tf":1.0},"25":{"tf":1.7320508075688772},"26":{"tf":1.0},"27":{"tf":1.7320508075688772},"28":{"tf":1.0},"29":{"tf":1.4142135623730951},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.7320508075688772},"54":{"tf":1.7320508075688772},"62":{"tf":2.6457513110645907}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":14,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":2.0},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.8284271247461903},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.449489742783178},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.7320508075688772},"2":{"tf":1.7320508075688772}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}},"t":{"df":4,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"2":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":85,"docs":{"0":{"tf":1.7320508075688772},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":2.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":5,"docs":{"147":{"tf":2.449489742783178},"148":{"tf":1.0},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"151":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":15,"docs":{"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.449489742783178}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.7320508075688772},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"137":{"tf":1.0},"153":{"tf":1.7320508075688772},"154":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":2.23606797749979}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.449489742783178},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.4142135623730951}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":2.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":2.0},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.7320508075688772},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.7320508075688772},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":10,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.7320508075688772},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":8,"docs":{"101":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"37":{"tf":1.0},"54":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":2.0},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.449489742783178},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":2.23606797749979},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":2.0},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.6457513110645907},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":2.23606797749979},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.23606797749979},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.23606797749979}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":39,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.4641016151377544},"121":{"tf":2.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.7320508075688772},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.4142135623730951},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":2.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.449489742783178},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":2.0},"144":{"tf":3.872983346207417},"145":{"tf":2.449489742783178},"146":{"tf":2.23606797749979},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.6457513110645907},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":79,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"164":{"tf":1.0},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.449489742783178}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.23606797749979},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.23606797749979},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.4142135623730951},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":3.0},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":3.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.7416573867739413},"94":{"tf":3.1622776601683795}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.8284271247461903},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.7320508075688772},"128":{"tf":1.7320508075688772},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.7320508075688772},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.7320508075688772},"155":{"tf":1.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.7320508075688772},"158":{"tf":1.7320508075688772},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.449489742783178},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":29,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.4142135623730951},"55":{"tf":1.7320508075688772},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":9,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":3.0},"156":{"tf":2.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":8,"docs":{"11":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"37":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0},"94":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.4142135623730951}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.23606797749979},"149":{"tf":1.4142135623730951},"150":{"tf":1.4142135623730951},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":2.0},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":2.0}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":4,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"94":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":21,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":2.23606797749979},"84":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"88":{"tf":1.4142135623730951},"89":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.23606797749979},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.7320508075688772},"64":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":2.0},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":18,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.7320508075688772},"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.23606797749979},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":2.0},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.23606797749979},"148":{"tf":1.0},"149":{"tf":2.449489742783178},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":3.1622776601683795},"120":{"tf":1.4142135623730951},"138":{"tf":2.0},"155":{"tf":2.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":2.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.4142135623730951}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":2.0},"59":{"tf":2.0},"60":{"tf":2.23606797749979},"61":{"tf":2.23606797749979},"62":{"tf":2.23606797749979},"63":{"tf":2.23606797749979},"64":{"tf":2.23606797749979},"65":{"tf":3.605551275463989},"66":{"tf":2.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"69":{"tf":1.7320508075688772},"70":{"tf":2.8284271247461903},"71":{"tf":1.4142135623730951},"72":{"tf":3.3166247903554},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.6457513110645907}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.4142135623730951}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":5,"docs":{"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":3.0},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.7320508075688772},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.449489742783178},"141":{"tf":2.23606797749979},"143":{"tf":4.0},"144":{"tf":1.7320508075688772},"145":{"tf":2.449489742783178},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":2.0},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"11":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"57":{"tf":1.0},"71":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"13":{"tf":1.0},"84":{"tf":1.0},"96":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"100":{"tf":1.0},"99":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}},"o":{"df":1,"docs":{"6":{"tf":1.0}}}}}},"b":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":1,"docs":{"17":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"26":{"tf":1.0}}}}},"df":0,"docs":{}},"t":{"df":3,"docs":{"53":{"tf":1.0},"55":{"tf":1.0},"79":{"tf":1.0}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"103":{"tf":1.0},"20":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":2,"docs":{"128":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"145":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":2,"docs":{"75":{"tf":1.0},"85":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"25":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":5,"docs":{"29":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"95":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"10":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"161":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"13":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"15":{"tf":1.0},"76":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"146":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":3,"docs":{"58":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"91":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"66":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":4,"docs":{"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":2,"docs":{"0":{"tf":1.0},"125":{"tf":1.0}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":4,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"47":{"tf":1.0}}}}}}}},"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":1,"docs":{"26":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"28":{"tf":1.0},"31":{"tf":1.0}}}}}}},"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"14":{"tf":1.0},"68":{"tf":1.0}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"168":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"16":{"tf":1.0},"73":{"tf":1.0}}}}}}}}},"f":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":13,"docs":{"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"110":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}},"t":{"df":2,"docs":{"136":{"tf":1.0},"6":{"tf":1.0}},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"115":{"tf":1.0},"75":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":7,"docs":{"101":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"9":{"tf":1.0}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"23":{"tf":1.0},"25":{"tf":1.0}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":2,"docs":{"102":{"tf":1.0},"38":{"tf":1.0}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":3,"docs":{"52":{"tf":1.0},"54":{"tf":1.0},"62":{"tf":1.0}},"r":{"df":4,"docs":{"110":{"tf":1.0},"113":{"tf":1.0},"28":{"tf":1.0},"7":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.0}}}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":4,"docs":{"0":{"tf":1.0},"110":{"tf":1.0},"160":{"tf":1.0},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"153":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"57":{"tf":1.0}}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"8":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":2,"docs":{"105":{"tf":1.0},"37":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":3,"docs":{"103":{"tf":1.0},"122":{"tf":1.0},"20":{"tf":1.0}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"51":{"tf":1.0}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"13":{"tf":1.0},"50":{"tf":1.0},"74":{"tf":1.0}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"107":{"tf":1.0},"117":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"163":{"tf":1.0},"93":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"144":{"tf":1.0},"33":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":2,"docs":{"139":{"tf":1.0},"144":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"41":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":2,"docs":{"143":{"tf":1.0},"24":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":12,"docs":{"121":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"79":{"tf":1.0}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"168":{"tf":1.0}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"160":{"tf":1.0}}}}}},"t":{"df":2,"docs":{"53":{"tf":1.0},"55":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"155":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":2,"docs":{"148":{"tf":1.0},"149":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"19":{"tf":1.0},"83":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":3,"docs":{"163":{"tf":1.0},"49":{"tf":1.0},"64":{"tf":1.0}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"118":{"tf":1.0},"67":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"42":{"tf":1.0},"43":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"x":{"df":2,"docs":{"1":{"tf":1.0},"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"132":{"tf":1.0},"149":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":4,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"156":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"5":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":9,"docs":{"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.4142135623730951},"66":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"69":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"66":{"tf":1.0}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"92":{"tf":1.0}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"109":{"tf":1.0},"21":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"25":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}}); \ No newline at end of file diff --git a/nightly/book/searchindex.json b/nightly/book/searchindex.json index 97c1c4fb..9848da71 100644 --- a/nightly/book/searchindex.json +++ b/nightly/book/searchindex.json @@ -1 +1 @@ -{"doc_urls":["01_introduction.html#the-veryl-hardware-description-language","01_introduction.html#optimized-syntax","01_introduction.html#interoperability","01_introduction.html#productivity","02_features.html#features","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#getting-started","03_getting_started/01_installation.html#installation","03_getting_started/01_installation.html#requirement","03_getting_started/01_installation.html#choose-a-way-of-installation","03_getting_started/01_installation.html#download-binary","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#editor-integration","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#other-editors","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#create-project","03_getting_started/02_hello_world.html#write-code","03_getting_started/02_hello_world.html#build-code","04_code_examples.html#code-examples","04_code_examples/01_module.html#module","04_code_examples/02_instantiation.html#instantiation","04_code_examples/03_interface.html#interface","04_code_examples/04_package.html#package","05_language_reference.html#language-reference","05_language_reference/01_source_code_structure.html#source-code-structure","05_language_reference/02_lexical_structure.html#lexical-structure","05_language_reference/02_lexical_structure.html#encoding","05_language_reference/02_lexical_structure.html#white-space","05_language_reference/02_lexical_structure.html#comment","05_language_reference/02_lexical_structure.html#documentation-comment","05_language_reference/02_lexical_structure.html#identifier","05_language_reference/02_lexical_structure.html#string","05_language_reference/02_lexical_structure/01_operator.html#operator","05_language_reference/02_lexical_structure/02_number.html#number","05_language_reference/02_lexical_structure/02_number.html#integer","05_language_reference/02_lexical_structure/02_number.html#set-all-bits","05_language_reference/02_lexical_structure/02_number.html#widthless-integer","05_language_reference/02_lexical_structure/02_number.html#set-sized-bits","05_language_reference/02_lexical_structure/02_number.html#floating-point","05_language_reference/02_lexical_structure/03_array_literal.html#array-literal","05_language_reference/03_data_type.html#data-type","05_language_reference/03_data_type/01_builtin_type.html#builtin-type","05_language_reference/03_data_type/01_builtin_type.html#4-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#2-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#integer-type","05_language_reference/03_data_type/01_builtin_type.html#floating-point-type","05_language_reference/03_data_type/01_builtin_type.html#string-type","05_language_reference/03_data_type/01_builtin_type.html#type-type","05_language_reference/03_data_type/02_user_defined_type.html#user-defined-type","05_language_reference/03_data_type/02_user_defined_type.html#struct","05_language_reference/03_data_type/02_user_defined_type.html#enum","05_language_reference/03_data_type/02_user_defined_type.html#union","05_language_reference/03_data_type/02_user_defined_type.html#typedef","05_language_reference/03_data_type/03_array.html#array","05_language_reference/03_data_type/04_clock_reset.html#clock--reset","05_language_reference/04_expression.html#expression","05_language_reference/04_expression/01_operator_precedence.html#operator-precedence","05_language_reference/04_expression/02_function_call.html#function-call","05_language_reference/04_expression/03_concatenation.html#concatenation","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#bit-select","05_language_reference/04_expression/07_range.html#range","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#statement","05_language_reference/05_statement/01_assignment.html#assignment","05_language_reference/05_statement/02_function_call.html#function-call","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#declaration","05_language_reference/06_declaration/01_variable.html#variable","05_language_reference/06_declaration/02_parameter.html#parameter","05_language_reference/06_declaration/03_register.html#register","05_language_reference/06_declaration/04_combinational.html#combinational","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#function","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#attribute","05_language_reference/06_declaration/08_attribute.html#sv-attribute","05_language_reference/06_declaration/09_generate.html#generate","05_language_reference/06_declaration/10_instantiation.html#instantiation","05_language_reference/06_declaration/11_named_block.html#named-block","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#module","05_language_reference/08_interface.html#interface","05_language_reference/09_package.html#package","05_language_reference/10_systemverilog_interoperation.html#systemverilog-interoperation","05_language_reference/11_visibility.html#visibility","05_language_reference/12_foreign_language_integration.html#foreign-language-integration","05_language_reference/12_foreign_language_integration.html#embed-declaration","05_language_reference/12_foreign_language_integration.html#include-declaration","05_language_reference/13_integrated_test.html#integrated-test","05_language_reference/14_generics.html#generics","05_language_reference/14_generics.html#generic-function","05_language_reference/14_generics.html#generic-moduleinterface","05_language_reference/14_generics.html#generic-package","05_language_reference/14_generics.html#generic-struct","06_development_environment.html#development-environment","06_development_environment/01_project_configuration.html#project-configuration","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#dependencies","06_development_environment/02_dependencies.html#usage-of-dependency","06_development_environment/02_dependencies.html#version-requirement","06_development_environment/03_publish_project.html#publish-project","06_development_environment/03_publish_project.html#version-bump","06_development_environment/03_publish_project.html#configuration","06_development_environment/04_directory_layout.html#directory-layout","06_development_environment/04_directory_layout.html#single-source-directory","06_development_environment/04_directory_layout.html#single-source-and-target-directory","06_development_environment/04_directory_layout.html#multi-source-directory","06_development_environment/04_directory_layout.html#about-gitignore","06_development_environment/05_formatter.html#formatter","06_development_environment/06_linter.html#linter","06_development_environment/06_linter.html#the-lintnaming-section","06_development_environment/07_simulator.html#simulator","06_development_environment/07_simulator.html#the-test-section","06_development_environment/07_simulator.html#the-testverilator-section","06_development_environment/07_simulator.html#the-testvcs-section","06_development_environment/07_simulator.html#the-testvivado-section","06_development_environment/08_language_server.html#language-server","06_development_environment/09_compatibility.html#compatibility","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#string-parameter","06_development_environment/10_documentation.html#documentation","06_development_environment/11_github_action.html#github-action","07_appendix.html#appendix","07_appendix/01_formal_syntax.html#formal-syntax","07_appendix/02_semantic_error.html#semantic-error","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":10,"breadcrumbs":5,"title":4},"1":{"body":41,"breadcrumbs":3,"title":2},"10":{"body":38,"breadcrumbs":3,"title":2},"100":{"body":18,"breadcrumbs":6,"title":2},"101":{"body":25,"breadcrumbs":5,"title":1},"102":{"body":58,"breadcrumbs":5,"title":1},"103":{"body":17,"breadcrumbs":7,"title":2},"104":{"body":60,"breadcrumbs":7,"title":2},"105":{"body":84,"breadcrumbs":4,"title":1},"106":{"body":53,"breadcrumbs":4,"title":1},"107":{"body":24,"breadcrumbs":4,"title":1},"108":{"body":30,"breadcrumbs":6,"title":2},"109":{"body":35,"breadcrumbs":4,"title":1},"11":{"body":75,"breadcrumbs":4,"title":3},"110":{"body":0,"breadcrumbs":8,"title":3},"111":{"body":36,"breadcrumbs":7,"title":2},"112":{"body":19,"breadcrumbs":7,"title":2},"113":{"body":72,"breadcrumbs":6,"title":2},"114":{"body":82,"breadcrumbs":4,"title":1},"115":{"body":15,"breadcrumbs":5,"title":2},"116":{"body":17,"breadcrumbs":5,"title":2},"117":{"body":15,"breadcrumbs":5,"title":2},"118":{"body":33,"breadcrumbs":5,"title":2},"119":{"body":10,"breadcrumbs":4,"title":2},"12":{"body":38,"breadcrumbs":3,"title":2},"120":{"body":68,"breadcrumbs":6,"title":2},"121":{"body":8,"breadcrumbs":6,"title":2},"122":{"body":14,"breadcrumbs":6,"title":2},"123":{"body":29,"breadcrumbs":6,"title":2},"124":{"body":37,"breadcrumbs":6,"title":2},"125":{"body":7,"breadcrumbs":6,"title":2},"126":{"body":19,"breadcrumbs":6,"title":2},"127":{"body":10,"breadcrumbs":6,"title":2},"128":{"body":6,"breadcrumbs":6,"title":2},"129":{"body":18,"breadcrumbs":6,"title":2},"13":{"body":42,"breadcrumbs":5,"title":4},"130":{"body":25,"breadcrumbs":6,"title":2},"131":{"body":27,"breadcrumbs":6,"title":2},"132":{"body":36,"breadcrumbs":6,"title":2},"133":{"body":26,"breadcrumbs":6,"title":2},"134":{"body":13,"breadcrumbs":6,"title":2},"135":{"body":10,"breadcrumbs":6,"title":2},"136":{"body":9,"breadcrumbs":6,"title":2},"137":{"body":8,"breadcrumbs":6,"title":2},"138":{"body":10,"breadcrumbs":6,"title":2},"139":{"body":8,"breadcrumbs":6,"title":2},"14":{"body":33,"breadcrumbs":5,"title":4},"140":{"body":8,"breadcrumbs":6,"title":2},"141":{"body":65,"breadcrumbs":4,"title":1},"142":{"body":52,"breadcrumbs":5,"title":2},"143":{"body":85,"breadcrumbs":5,"title":2},"144":{"body":94,"breadcrumbs":6,"title":2},"145":{"body":53,"breadcrumbs":6,"title":2},"146":{"body":36,"breadcrumbs":5,"title":1},"147":{"body":20,"breadcrumbs":6,"title":2},"148":{"body":61,"breadcrumbs":7,"title":3},"149":{"body":39,"breadcrumbs":8,"title":4},"15":{"body":29,"breadcrumbs":3,"title":2},"150":{"body":40,"breadcrumbs":7,"title":3},"151":{"body":17,"breadcrumbs":5,"title":1},"152":{"body":32,"breadcrumbs":4,"title":1},"153":{"body":24,"breadcrumbs":4,"title":1},"154":{"body":430,"breadcrumbs":5,"title":2},"155":{"body":36,"breadcrumbs":4,"title":1},"156":{"body":18,"breadcrumbs":5,"title":2},"157":{"body":20,"breadcrumbs":5,"title":2},"158":{"body":20,"breadcrumbs":5,"title":2},"159":{"body":26,"breadcrumbs":5,"title":2},"16":{"body":40,"breadcrumbs":3,"title":2},"160":{"body":30,"breadcrumbs":6,"title":2},"161":{"body":13,"breadcrumbs":4,"title":1},"162":{"body":0,"breadcrumbs":4,"title":1},"163":{"body":20,"breadcrumbs":5,"title":2},"164":{"body":125,"breadcrumbs":4,"title":1},"165":{"body":106,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":2,"title":1},"167":{"body":2256,"breadcrumbs":5,"title":2},"168":{"body":0,"breadcrumbs":5,"title":2},"169":{"body":0,"breadcrumbs":4,"title":1},"17":{"body":42,"breadcrumbs":5,"title":4},"170":{"body":0,"breadcrumbs":4,"title":1},"171":{"body":0,"breadcrumbs":4,"title":1},"172":{"body":0,"breadcrumbs":4,"title":1},"173":{"body":0,"breadcrumbs":4,"title":1},"174":{"body":0,"breadcrumbs":4,"title":1},"175":{"body":0,"breadcrumbs":4,"title":1},"176":{"body":0,"breadcrumbs":4,"title":1},"177":{"body":0,"breadcrumbs":4,"title":1},"178":{"body":0,"breadcrumbs":4,"title":1},"179":{"body":0,"breadcrumbs":4,"title":1},"18":{"body":31,"breadcrumbs":3,"title":2},"180":{"body":0,"breadcrumbs":4,"title":1},"181":{"body":0,"breadcrumbs":4,"title":1},"182":{"body":0,"breadcrumbs":4,"title":1},"183":{"body":0,"breadcrumbs":4,"title":1},"184":{"body":0,"breadcrumbs":4,"title":1},"185":{"body":0,"breadcrumbs":4,"title":1},"186":{"body":0,"breadcrumbs":4,"title":1},"187":{"body":0,"breadcrumbs":4,"title":1},"188":{"body":0,"breadcrumbs":4,"title":1},"189":{"body":0,"breadcrumbs":4,"title":1},"19":{"body":35,"breadcrumbs":2,"title":1},"190":{"body":0,"breadcrumbs":4,"title":1},"191":{"body":0,"breadcrumbs":4,"title":1},"192":{"body":0,"breadcrumbs":4,"title":1},"193":{"body":0,"breadcrumbs":4,"title":1},"2":{"body":27,"breadcrumbs":2,"title":1},"20":{"body":13,"breadcrumbs":3,"title":2},"21":{"body":42,"breadcrumbs":3,"title":2},"22":{"body":11,"breadcrumbs":4,"title":2},"23":{"body":10,"breadcrumbs":4,"title":1},"24":{"body":9,"breadcrumbs":4,"title":1},"25":{"body":0,"breadcrumbs":6,"title":3},"26":{"body":6,"breadcrumbs":5,"title":2},"27":{"body":7,"breadcrumbs":4,"title":1},"28":{"body":7,"breadcrumbs":5,"title":2},"29":{"body":28,"breadcrumbs":6,"title":3},"3":{"body":46,"breadcrumbs":2,"title":1},"30":{"body":23,"breadcrumbs":5,"title":2},"31":{"body":11,"breadcrumbs":4,"title":1},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":39,"breadcrumbs":6,"title":2},"34":{"body":60,"breadcrumbs":6,"title":2},"35":{"body":64,"breadcrumbs":6,"title":2},"36":{"body":66,"breadcrumbs":4,"title":2},"37":{"body":112,"breadcrumbs":4,"title":1},"38":{"body":100,"breadcrumbs":4,"title":1},"39":{"body":63,"breadcrumbs":4,"title":1},"4":{"body":47,"breadcrumbs":2,"title":1},"40":{"body":26,"breadcrumbs":4,"title":1},"41":{"body":6,"breadcrumbs":4,"title":2},"42":{"body":34,"breadcrumbs":8,"title":3},"43":{"body":10,"breadcrumbs":6,"title":2},"44":{"body":6,"breadcrumbs":5,"title":1},"45":{"body":10,"breadcrumbs":6,"title":2},"46":{"body":17,"breadcrumbs":5,"title":1},"47":{"body":14,"breadcrumbs":6,"title":2},"48":{"body":19,"breadcrumbs":5,"title":1},"49":{"body":7,"breadcrumbs":5,"title":1},"5":{"body":29,"breadcrumbs":4,"title":3},"50":{"body":95,"breadcrumbs":6,"title":1},"51":{"body":0,"breadcrumbs":6,"title":1},"52":{"body":15,"breadcrumbs":6,"title":1},"53":{"body":10,"breadcrumbs":7,"title":2},"54":{"body":29,"breadcrumbs":7,"title":2},"55":{"body":24,"breadcrumbs":8,"title":3},"56":{"body":9,"breadcrumbs":7,"title":2},"57":{"body":35,"breadcrumbs":8,"title":2},"58":{"body":5,"breadcrumbs":6,"title":2},"59":{"body":0,"breadcrumbs":8,"title":2},"6":{"body":18,"breadcrumbs":3,"title":2},"60":{"body":31,"breadcrumbs":12,"title":6},"61":{"body":29,"breadcrumbs":12,"title":6},"62":{"body":32,"breadcrumbs":8,"title":2},"63":{"body":25,"breadcrumbs":9,"title":3},"64":{"body":7,"breadcrumbs":8,"title":2},"65":{"body":23,"breadcrumbs":8,"title":2},"66":{"body":0,"breadcrumbs":10,"title":3},"67":{"body":31,"breadcrumbs":8,"title":1},"68":{"body":37,"breadcrumbs":8,"title":1},"69":{"body":39,"breadcrumbs":8,"title":1},"7":{"body":25,"breadcrumbs":3,"title":2},"70":{"body":23,"breadcrumbs":8,"title":1},"71":{"body":112,"breadcrumbs":6,"title":1},"72":{"body":131,"breadcrumbs":8,"title":2},"73":{"body":12,"breadcrumbs":4,"title":1},"74":{"body":30,"breadcrumbs":7,"title":2},"75":{"body":27,"breadcrumbs":7,"title":2},"76":{"body":28,"breadcrumbs":5,"title":1},"77":{"body":30,"breadcrumbs":3,"title":0},"78":{"body":54,"breadcrumbs":5,"title":1},"79":{"body":29,"breadcrumbs":7,"title":2},"8":{"body":23,"breadcrumbs":3,"title":2},"80":{"body":26,"breadcrumbs":5,"title":1},"81":{"body":30,"breadcrumbs":7,"title":2},"82":{"body":46,"breadcrumbs":7,"title":2},"83":{"body":9,"breadcrumbs":4,"title":1},"84":{"body":76,"breadcrumbs":5,"title":1},"85":{"body":14,"breadcrumbs":7,"title":2},"86":{"body":22,"breadcrumbs":3,"title":0},"87":{"body":32,"breadcrumbs":5,"title":1},"88":{"body":23,"breadcrumbs":3,"title":0},"89":{"body":18,"breadcrumbs":5,"title":1},"9":{"body":80,"breadcrumbs":2,"title":1},"90":{"body":47,"breadcrumbs":3,"title":0},"91":{"body":4,"breadcrumbs":4,"title":1},"92":{"body":62,"breadcrumbs":5,"title":1},"93":{"body":27,"breadcrumbs":5,"title":1},"94":{"body":85,"breadcrumbs":5,"title":1},"95":{"body":17,"breadcrumbs":5,"title":1},"96":{"body":11,"breadcrumbs":5,"title":1},"97":{"body":37,"breadcrumbs":5,"title":1},"98":{"body":20,"breadcrumbs":7,"title":2},"99":{"body":5,"breadcrumbs":5,"title":1}},"docs":{"0":{"body":"Veryl Veryl is a hardware description language based on SystemVerilog, providing the following advantages:","breadcrumbs":"Introduction » The Veryl Hardware Description Language","id":"0","title":"The Veryl Hardware Description Language"},"1":{"body":"Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.","breadcrumbs":"Introduction » Optimized Syntax","id":"1","title":"Optimized Syntax"},"10":{"body":"Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems. SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"Features » Trailing comma","id":"10","title":"Trailing comma"},"100":{"body":"SV attribute represents SystemVerilog attribute. It will be transpiled to SystemVerilog attribute (* *). module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » Attribute » SV Attribute","id":"100","title":"SV Attribute"},"101":{"body":"Declaration can be generated by for and if. Label which is shown by : is required to idenfity the generated declarations. module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // label of else clause can be omit assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » Generate » Generate","id":"101","title":"Generate"},"102":{"body":"inst keyword represents instantiation of modula and interface. The name of instance is placed after inst keyword, and the type of instance is placed after :. Parameter override is #(), and port connection is (). module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // Parameter assignment by name paramB: 10, ) ( a , // Port connection by name bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » Instantiation » Instantiation","id":"102","title":"Instantiation"},"103":{"body":"Label can be added to {} block. The named block has an individual namespace. module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » Named Block » Named Block","id":"103","title":"Named Block"},"104":{"body":"import declaration imports symbols from other packages. It can be placed at the top level or as a module/interface/package item. Wildcard pattern like package::* can be used as an argument of import declaration. // file scope import\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export declaration exports symbols from the package to other. export * represents to export all symbols. package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic. Overridable parameters can be declared in #(). Each parameter declaration is started by param keyword. After the keyword, an identifier, :, the type of the parameter, and a default value are placed. Connection ports can be declared in (). Each port declaration is constructed by an identifier, :, port direction, and the type of the port. The available port directions are: input: input port output: output port inout: bi-directional port modport: modport of interface module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » Module » Module","id":"105","title":"Module"},"106":{"body":"Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions. Overridable parameters are the same as them of module. In interface definitions, modport can be declared. modport can be used as bundled port connection at the port declaration of module. interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » Interface » Interface","id":"106","title":"Interface"},"107":{"body":"Package is one of top level components in source code. Package can organize some declarations like parameter and function. To access an item in a package, :: symbol can be used like PackageA::ParamA. package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » Package » Package","id":"107","title":"Package"},"108":{"body":"If you want to access to items of SystemVerilog, $sv namespace can be used. For example, \"ModuleA\" in SystemVerilog source code can be accessed by $sv::ModuleA. Veryl don't check the existence of the items. module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilog Interoperation » SystemVerilog Interoperation","id":"108","title":"SystemVerilog Interoperation"},"109":{"body":"By default, all top level items of a project (module, interface and package) are private. The \"private\" means they are not visible from other project. pub keyword can be used to specify an item as public to other project. veryl doc will generate documents of public items only. pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » Visibility » Visibility","id":"109","title":"Visibility"},"11":{"body":"There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code. Additionally, explicit clock and reset type enables to check whether clock and reset are correctly connected to registers. SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff (i_clk, i_rst) { if_reset { } else { } }\n}","breadcrumbs":"Features » Abstraction of clock and reset","id":"11","title":"Abstraction of clock and reset"},"110":{"body":"","breadcrumbs":"Language Reference » Foreign Language Integration » Foreign Language Integration","id":"110","title":"Foreign Language Integration"},"111":{"body":"embed declaration can embed the code of foreign languages. The first argument of embed declaration shows the way of embedding. The following ways are supported: inline: expand the code as is The code block are started by lang{{{ and ended by }}}. The following lang specifiers are supported: sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » Foreign Language Integration » embed declaration","id":"111","title":"embed declaration"},"112":{"body":"include declaration can include a file of foreign languages. The first argument is the same as embed declaration, and the second is a relative file path from the source code. include(inline, \"module.sv\");","breadcrumbs":"Language Reference » Foreign Language Integration » include declaration","id":"112","title":"include declaration"},"113":{"body":"Integrated test can be marked by #[test(test_name)] attribute. The marked block will be identified as test, and executed through veryl test command. The top level module of the block must have the same name as the test name. The messages through $info, $warning, $error and $fatal system function are handled by Veryl compiler, and shown as exectution log. The calls of $error and $fatal are treated as test failure. The following example, a SystemVerilog source code embeded by embed declaration are marked as test. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} About RTL simulator used by veryl test, see Simulator .","breadcrumbs":"Language Reference » Integrated Test » Integrated Test","id":"113","title":"Integrated Test"},"114":{"body":"Generics can define parameterized items which can't achieved by parameter override. The following items support generics: function module interface package struct union Each generic definition has generic parameters (often an uppercase letter is used like T) which can be placed as identifier or expression in the definition. Generic parameters are declarated after item's identifier with ::<>. At the usage of generics, actual parameters can be given through ::<>. As the actual parameters, numeric literal and identifier concatenated by :: can be used. Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can't be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.","breadcrumbs":"Language Reference » Generics » Generics","id":"114","title":"Generics"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » Generics » Generic Function","id":"115","title":"Generic Function"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » Generics » Generic Module/Interface","id":"116","title":"Generic Module/Interface"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » Generics » Generic Package","id":"117","title":"Generic Package"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // local defined type can be used // because `TypeA` is accessible at the definition of `StructA` var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » Generics » Generic Struct","id":"118","title":"Generic Struct"},"119":{"body":"In this chapter, we'll discuss about development environment including project configuration and development tools.","breadcrumbs":"Development Environment » Development Environment","id":"119","title":"Development Environment"},"12":{"body":"Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also MarkDown format or waveform descriptions using WaveDrom . SystemVerilog\nVeryl // Comment\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"Features » Documentation comment","id":"12","title":"Documentation comment"},"120":{"body":"[project] --- Defines a project. name --- The name of the project. version --- The version of the project. authors --- The authors of the project. description --- A description of the project. license --- The project license. repository --- URL of the project source repository. [build] --- Build settings. clock_type --- The type of clock. reset_type --- The type of reset. filelist_type --- The type of filelist. target --- The way of output. implicit_parameter_types --- Whether implicit parameter type is enabled. omit_project_prefix --- Whether omit project prefix. strip_comments --- Whether strip comments. [format] --- Format settings. [lint] --- Lint settings. [test] --- Test settings. [publish] --- Publish settings. [dependencies] --- Library dependencies.","breadcrumbs":"Development Environment » Project Configuration » Project Configuration","id":"120","title":"Project Configuration"},"121":{"body":"The first section of Veryl.toml is [project]. The mandatory fields are name and version.","breadcrumbs":"Development Environment » Project Configuration » The [project] section","id":"121","title":"The [project] section"},"122":{"body":"The project name is used as prefix in the generated codes. So the name must start with alphabet or _, and use only alphanumeric charaters or _.","breadcrumbs":"Development Environment » Project Configuration » The name field","id":"122","title":"The name field"},"123":{"body":"The project version should follow Semantic Versioning . The version is constructed by the following three numbers. Major -- increment at incompatible changes Minor -- increment at adding features with backward compatibility Patch -- increment at bug fixes with backward compatibility [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » Project Configuration » The version field","id":"123","title":"The version field"},"124":{"body":"The optional authors field lists in an array the people or organizations that are considered the \"authors\" of the project. The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used. [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » Project Configuration » The authors field","id":"124","title":"The authors field"},"125":{"body":"The description is a short blurb about the project. This should be plane text (not Markdown).","breadcrumbs":"Development Environment » Project Configuration » The description field","id":"125","title":"The description field"},"126":{"body":"The license field contains the name of license that the project is released under. The string should be follow SPDX 2.3 license expression . [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » Project Configuration » The license field","id":"126","title":"The license field"},"127":{"body":"The repository field should be a URL to the source repository for the project. [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » Project Configuration » The repository field","id":"127","title":"The repository field"},"128":{"body":"The [build] section contains the configurations of code generation.","breadcrumbs":"Development Environment » Project Configuration » The [build] section","id":"128","title":"The [build] section"},"129":{"body":"The clock_type field specifies which clock edge is used to drive flip-flop. The available types are below: posedge -- positive edge negedge -- negetive edge","breadcrumbs":"Development Environment » Project Configuration » The clock_type field","id":"129","title":"The clock_type field"},"13":{"body":"There is no dedicated non-blocking assignment operator; within always_ff, non-blocking assignments are inferred, while within always_comb, blocking assignments are inferred. Therefore, various compound assignment operators can be used within always_ff just like within always_comb. SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"Features » Compound assignment operator in always_ff","id":"13","title":"Compound assignment operator in always_ff"},"130":{"body":"The reset_type field specifies reset polarity and synchronisity. The available types are below: async_low -- asynchronous and active low async_high -- asynchronous and active high sync_low -- synchronous and active low sync_high -- synchronous and active high","breadcrumbs":"Development Environment » Project Configuration » The reset_type field","id":"130","title":"The reset_type field"},"131":{"body":"The filelist_type field specifies filelist format. The available types are below: absolute -- plane text filelist including absolute file paths relative -- plane text filelist including relative file paths flgen -- flgen filelist","breadcrumbs":"Development Environment » Project Configuration » The filelist_type field","id":"131","title":"The filelist_type field"},"132":{"body":"The target field specifies where the generated codes will be placed at. The available types are below: source -- as the same directory as the source code directory -- specified directory bundle -- specified file If you want to use directory or bundle, you should specify the target path by path key. [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » Project Configuration » The target field","id":"132","title":"The target field"},"133":{"body":"The implicit_parameter_types field lists the types which will be elided in parameter declaration of the generated codes. This is because some EDA tools don't support parameter declaration with specific types (ex.string). If you want to elide string, you can specify like below: [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » Project Configuration » The implicit_parameter_types field","id":"133","title":"The implicit_parameter_types field"},"134":{"body":"If omit_project_prefix is set to true, the project prefix of module/interface/package name will be omitted. This is false by default. [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » Project Configuration » The omit_project_prefix field","id":"134","title":"The omit_project_prefix field"},"135":{"body":"If strip_comments is set to true, all comments will be stripped. This is false by default. [build]\nstrip_comments = true","breadcrumbs":"Development Environment » Project Configuration » The strip_comments field","id":"135","title":"The strip_comments field"},"136":{"body":"The [format] section contains the configurations of code formatter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [format] section","id":"136","title":"The [format] section"},"137":{"body":"The [lint] section contains the configurations of linter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [lint] section","id":"137","title":"The [lint] section"},"138":{"body":"The [test] section contains the configurations of test by RTL simulator. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [test] section","id":"138","title":"The [test] section"},"139":{"body":"The [publish] section contains the configurations of publishing. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [publish] section","id":"139","title":"The [publish] section"},"14":{"body":"Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions. SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"Features » Individual namespace of enum variant","id":"14","title":"Individual namespace of enum variant"},"140":{"body":"The [dependencies] section contains library dependencies. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [dependencies] section","id":"140","title":"The [dependencies] section"},"141":{"body":"If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies] section in Veryl.toml. The left hand side of entry is path to the dependency, and the right hand side is version. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" By default, the namespace of the dependency is the same as the project name of the dependency. If you want to specify namespace, you can use name field. [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} If you want to use many versions of the same dependency path, you can specify each name. [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » Dependencies » Dependencies","id":"141","title":"Dependencies"},"142":{"body":"After adding dependencies to Veryl.toml, you can use moudle, interface and package in the dependencies. The following example uses delay module in the veryl_sample dependency. module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} Note: The result of play button in the above code is not exact because it doesn't use dependency resolution. Actually the module name becomes veryl_samlle_delay","breadcrumbs":"Development Environment » Dependencies » Usage of dependency","id":"142","title":"Usage of dependency"},"143":{"body":"The version field of [dependencies] section shows version requirement. For example, version = \"0.1.0\" means the latest version which has compatibility with 0.1.0. The compatibility is judged by Semantic Versioning . A version is constructed from the following three parts. MAJOR version when you make incompatible API changes MINOR version when you add functionality in a backwards compatible manner PATCH version when you make backwards compatible bug fixes If MAJOR version is 0, MINOR is interpreted as incompatible changes. If there are 0.1.0 and 0.1.1 and 0.2.0, 0.1.1 will be selected. This is because 0.1.0 is compatible with 0.1.0. 0.1.1 is compatible with 0.1.0. 0.2.0 is not compatible with 0.1.0. 0.1.1 is the latest in the compatible versions. The version field allows other version requirement reprensentation like =0.1.0. Please see version requirement of Rust for detailed information: Specifying Dependencies .","breadcrumbs":"Development Environment » Dependencies » Version Requirement","id":"143","title":"Version Requirement"},"144":{"body":"To publish your project, veryl publish can be used. Publising means to associate a version with a git revision. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish generates Veryl.pub which contains published version information like below. [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" After generating Veryl.pub, publishing sequence is completed by git add, commit and push. The git branch to be committed must be the default branch because Veryl search Veryl.pub in the default branch. $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push If you enable automatic commit by publish_commit in [publish] section of Veryl.toml, git add and commit will be executed after publish. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Publish Project","id":"144","title":"Publish Project"},"145":{"body":"You can bump version with publish at the same time by --bump option. As the same as publish, bump_commit in [publish] section of Veryl.toml can specify automatic commit after bump version. $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Version Bump","id":"145","title":"Version Bump"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" Configuration Value Default Description bump_commit boolean false automatic commit after bump publish_commit boolean false automatic commit after publish bump_commit_mesasge string \"chore: Bump version\" commit message after bump publish_commit_mesasge string \"chore: Publish\" commit message after publish","breadcrumbs":"Development Environment » Publish Project » Configuration","id":"146","title":"Configuration"},"147":{"body":"Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different. In this section, we suggest some directory layout patterns.","breadcrumbs":"Development Environment » Directory Layout » Directory Layout","id":"147","title":"Directory Layout"},"148":{"body":"This pattern contains all sources in src directory. In src, you can configure arbitrary sub directories. $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl gathers all *.veryl files and generates codes at the same directory as the source by default. You can show the behaviour explicitly by the following configuration. [build]\ntarget = \"source\" After veryl build, the directory structure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source directory","id":"148","title":"Single source directory"},"149":{"body":"If you want to place the generated codes into a directory, you can use target configure in [build] section of Veryl.toml. [build]\ntarget = {type = \"directory\", path = \"target\"} The directory layout of this configure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source and target directory","id":"149","title":"Single source and target directory"},"15":{"body":"By adopting the explicit repeat syntax as a repetition description in bit concatenation, readability improves over complex combinations of {}. SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"Features » repeat of concatenation","id":"15","title":"repeat of concatenation"},"150":{"body":"If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure. $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files The generated prj.f lists all generated files. So you can use it along with the existing SystemVerilog filelists.","breadcrumbs":"Development Environment » Directory Layout » Multi source directory","id":"150","title":"Multi source directory"},"151":{"body":"Veryl doesn't provide the default .gitignore. This is because which files should be ignored is different by each projects. The candidates of .gitignore is below: dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » Directory Layout » About .gitignore","id":"151","title":"About .gitignore"},"152":{"body":"Source code can be formatted by veryl fmt command. Alternatively, language server support formatting through textDocument/formatting request. The available configurations are below. These can be specified in [format] section of Veryl.toml. [format]\nindent_width = 4 Configuration Value Description indent_width integer indent width by space","breadcrumbs":"Development Environment » Formatter » Formatter","id":"152","title":"Formatter"},"153":{"body":"Lint check is executed at veryl check or veryl build. Alternatively, language server checks lint in real time. The available configurations are below. These can be specified in [lint] section of Veryl.toml. [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » Linter » Linter","id":"153","title":"Linter"},"154":{"body":"This section contains configurations of naming conventions. Configuration Value Description case_enum case type [1] case style of enum case_function case type [1] case style of function case_instance case type [1] case style of instance case_interface case type [1] case style of interface case_modport case type [1] case style of modport case_module case type [1] case style of module case_package case type [1] case style of package case_parameter case type [1] case style of parameter case_port_inout case type [1] case style of inout port case_port_input case type [1] case style of input port case_port_modport case type [1] case style of modport port case_port_output case type [1] case style of output port case_reg case type [1] case style of register type variable [2] case_struct case type [1] case style of struct case_wire case type [1] case style of wire type variable [3] prefix_enum string prefix of enum prefix_function string prefix of function prefix_instance string prefix of instance prefix_interface string prefix of interface prefix_modport string prefix of modport prefix_module string prefix of module prefix_package string prefix of package prefix_parameter string prefix of parameter prefix_port_inout string prefix of inout port prefix_port_input string prefix of input port prefix_port_modport string prefix of modport port prefix_port_output string prefix of output port prefix_reg string prefix of register type variable [2] prefix_struct string prefix of struct prefix_wire string prefix of wire type variable [3] re_forbidden_enum regex [4] regex forbidden of enum re_forbidden_function regex [4] regex forbidden of function re_forbidden_instance regex [4] regex forbidden of instance re_forbidden_interface regex [4] regex forbidden of interface re_forbidden_modport regex [4] regex forbidden of modport re_forbidden_module regex [4] regex forbidden of module re_forbidden_package regex [4] regex forbidden of package re_forbidden_parameter regex [4] regex forbidden of parameter re_forbidden_port_inout regex [4] regex forbidden of inout port re_forbidden_port_input regex [4] regex forbidden of input port re_forbidden_port_modport regex [4] regex forbidden of modport port re_forbidden_port_output regex [4] regex forbidden of output port re_forbidden_reg regex [4] regex forbidden of register type variable [2] re_forbidden_struct regex [4] regex forbidden of struct re_forbidden_wire regex [4] regex forbidden of wire type variable [3] re_required_enum regex [4] regex required of enum re_required_function regex [4] regex required of function re_required_instance regex [4] regex required of instance re_required_interface regex [4] regex required of interface re_required_modport regex [4] regex required of modport re_required_module regex [4] regex required of module re_required_package regex [4] regex required of package re_required_parameter regex [4] regex required of parameter re_required_port_inout regex [4] regex required of inout port re_required_port_input regex [4] regex required of input port re_required_port_modport regex [4] regex required of modport port re_required_port_output regex [4] regex required of output port re_required_reg regex [4] regex required of register type variable [2] re_required_struct regex [4] regex required of struct re_required_wire regex [4] regex required of wire type variable [3] The available values are \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase Regular expression string like \".*\". The available syntax is here . Register type means that the variable is assigned in always_ff. It will be mapped to flip-flop in synthesis phase. Wire type means that the variable is assigned in always_comb. It will be mapped to wire in synthesis phase.","breadcrumbs":"Development Environment » Linter » The [lint.naming] section","id":"154","title":"The [lint.naming] section"},"155":{"body":"Test by RTL simulator is executed through veryl test. Supported simulators are below: Verilator Synopsys VCS AMD Vivado Simulator Verilator is the default simulator. If no simulator is specified through Veryl.toml and commandline option, it will be used. The available configurations are below. These can be specified in [test] section of Veryl.toml. [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » Simulator » Simulator","id":"155","title":"Simulator"},"156":{"body":"This section contains configurations of test. Configuration Value Description simulator simulator name [1] default simulator The available values are \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » Simulator » The [test] section","id":"156","title":"The [test] section"},"157":{"body":"This section contains configurations of test by Verilator. Configuration Value Description compile_args [string] additional arguments to verilator command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.verilator] section","id":"157","title":"The [test.verilator] section"},"158":{"body":"This section contains configurations of test by VCS. Configuration Value Description compile_args [string] additional arguments to vcs command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.vcs] section","id":"158","title":"The [test.vcs] section"},"159":{"body":"This section contains configurations of test by Vivado. Configuration Value Description compile_args [string] additional arguments to xvlog command elaborate_args [string] additional arguments to xelab command simulate_args [string] additional arguments to xsim command","breadcrumbs":"Development Environment » Simulator » The [test.vivado] section","id":"159","title":"The [test.vivado] section"},"16":{"body":"By adopting if and case expressions instead of the ternary operator, readability improves, especially when comparing a large number of items. SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"Features » if / case expression","id":"16","title":"if / case expression"},"160":{"body":"veryl-ls is a language server binary. If you want to use it, editor configuration or plugin to use it is required. The available configurations are below. These can be specified by each editor's config. Configuration Value Default Description useOperatorCompletion boolean false use operator (e.g. '>:', '>>') completion","breadcrumbs":"Development Environment » Language Server » Language Server","id":"160","title":"Language Server"},"161":{"body":"Some tools supporting SystemVerilog don't support some features. Code generation can be customized by configuration of Veryl.toml to support these tools.","breadcrumbs":"Development Environment » Compatibility » Compatibility","id":"161","title":"Compatibility"},"162":{"body":"","breadcrumbs":"Development Environment » Compatibility » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivado don't support parameter which is typed as string. parameter string a = \"A\"; So you can use implicit_parameter_types like below: [build]\nimplicit_parameter_types = [\"string\"] By the configuration, the generated code becomes like below: parameter a = \"A\";","breadcrumbs":"Development Environment » Compatibility » String parameter","id":"163","title":"String parameter"},"164":{"body":"Documant of project can be generated by veryl doc command. All public modules, interfaces and packages will be listed in it. (See Visibility ) If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used. Waveform description based on WaveDrom is supported too. In a wavedrom code block, the syntax of WaveDrom can be written. Please refer Tutorial for the detailed syntax. /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} The available configurations are below. These can be specified in [doc] section of Veryl.toml. [doc]\npath = \"document\" Configuration Value Default Description path string \"doc\" path to output directory","breadcrumbs":"Development Environment » Documentation » Documentation","id":"164","title":"Documentation"},"165":{"body":"The official GitHub action to download a prebuilt binary of Veryl is provided. https://github.com/marketplace/actions/setup-veryl The examples of GitHub action script are below: Format and build check name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check Publish document through GitHub Pages name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Test by Verilator For this purpose, we provide GitHub action veryl-lang/setup-verilator . name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"Appendix » Appendix","id":"166","title":"Appendix"},"167":{"body":"Veryl's parser is based on parser generator parol . The following syntex definition of parol is formal syntax. %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » Formal Syntax » Formal Syntax","id":"167","title":"Formal Syntax"},"168":{"body":"","breadcrumbs":"Appendix » Semantic Error » Semantic Error","id":"168","title":"Semantic Error"},"169":{"body":"","breadcrumbs":"Appendix » Semantic Error » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"With notation representing closed intervals ..= and half-open intervals .., it is possible to uniformly describe ranges using for, inside, and outside (which denotes the inverse of inside). SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"Features » Range-based for / inside / outside","id":"17","title":"Range-based for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"The msb notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer. SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"Features » msb notation","id":"18","title":"msb notation"},"180":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » Semantic Error » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"There is a dedicated let statement available for binding values simultaneously with variable declaration, which can be used in various contexts that were not supported in SystemVerilog. SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"Features » let statement","id":"19","title":"let statement"},"190":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » Semantic Error » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.","breadcrumbs":"Introduction » Interoperability","id":"2","title":"Interoperability"},"20":{"body":"You can define named blocks to limit the scope of variables. SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"Features » Named block","id":"20","title":"Named block"},"21":{"body":"Modules without the pub keyword cannot be referenced from outside the project and are not included in automatic documentation generation. This allows distinguishing between what should be exposed externally from the project and internal implementations. SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} Some browsers by default pause the playback of GIF animations. Please check your browser settings.","breadcrumbs":"Features » Visibility control","id":"21","title":"Visibility control"},"22":{"body":"Let's start to use Veryl. In this section, we will install Veryl, create an example project, and build it.","breadcrumbs":"Getting Started » Getting Started","id":"22","title":"Getting Started"},"23":{"body":"You can install Veryl by downloading binary. If you have Rust development environment, you can use cargo instead of it.","breadcrumbs":"Getting Started » Installation » Installation","id":"23","title":"Installation"},"24":{"body":"Veryl uses git command internally. Please confirm git can be launched.","breadcrumbs":"Getting Started » Installation » Requirement","id":"24","title":"Requirement"},"25":{"body":"","breadcrumbs":"Getting Started » Installation » Choose a way of installation","id":"25","title":"Choose a way of installation"},"26":{"body":"Download from release page , and extract to the directory in PATH.","breadcrumbs":"Getting Started » Installation » Download binary","id":"26","title":"Download binary"},"27":{"body":"You can install with cargo . cargo install veryl veryl-ls","breadcrumbs":"Getting Started » Installation » Cargo","id":"27","title":"Cargo"},"28":{"body":"Visual Studio Code and Vim / Neovim are supported officially.","breadcrumbs":"Getting Started » Installation » Editor integration","id":"28","title":"Editor integration"},"29":{"body":"For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching \"Veryl\" in extension panel or the following URL. Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » Installation » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity. With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.","breadcrumbs":"Introduction » Productivity","id":"3","title":"Productivity"},"30":{"body":"For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL. Vim / Neovim plugin","breadcrumbs":"Getting Started » Installation » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.","breadcrumbs":"Getting Started » Installation » Other Editors","id":"31","title":"Other Editors"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"At first, a new Veryl project can be created by: veryl new hello After the command, the following directory and file will be created. $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml is the project configuration. [project]\nname = \"hello\"\nversion = \"0.1.0\" The description of all configuration is here .","breadcrumbs":"Getting Started » Hello, World! » Create Project","id":"33","title":"Create Project"},"34":{"body":"You can add source codes at an arbitrary position in the project directory. This is because Veryl project can be independent or integrated to other SystemVerilog project. The extension of Veryl's source codes is .veryl. For example, put the following code to src/hello.veryl. module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files Note: Some source codes in the book have play button \"▶\" which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of module ModuleA code.","breadcrumbs":"Getting Started » Hello, World! » Write Code","id":"34","title":"Write Code"},"35":{"body":"You can generate a SystemVerilog code by veryl build. $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files By default, SystemVerilog code will be generated at the same directory as Veryl code. The generated code is src/hello.sv. module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule Additionally, hello.f which is the filelist of generated codes will be generated. You can use it for SystemVerilog compiler. The following example is to use Verilator . $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » Build Code","id":"35","title":"Build Code"},"36":{"body":"Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code. This is a small example. In the following example, comments show the difference with SystemVerilog syntax. module ModuleA ( // name is first, and type is followed after `:` // bit width is represented by `<>` i_data: input logic<10>, o_data: output logic<10>, // use `{}` instead of `begin`/`end`\n) { assign o_data = i_data;\n} Additionally, the codeblocks in this chapter can be edit. Let's try to edit and play each code. A source code of Veryl has some module, interface and package like SystemVerilog. In this chapter, we'll show the some example source codes of them.","breadcrumbs":"Code Examples » Code Examples","id":"36","title":"Code Examples"},"37":{"body":"// module definition\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // trailing comma is allowed\n) ( i_clk : input clock , // `clock` is a special type for clock i_rst : input reset , // `reset` is a special type for reset i_sel : input logic , i_data: input logic [2], // `[]` means unpacked array in SystemVerilog o_data: output logic , // `<>` means packed array in SystemVerilog\n) { // local parameter declaration // `param` is not allowed in module local ParamC: u32 = 10; // variable declaration var r_data0: logic; var r_data1: logic; // value binding let _w_data2: logic = i_data; // always_ff statement with reset // `always_ff` can take a mandatory clock and a optional reset // `if_reset` means `if (i_rst)`. This conceals reset porality // `()` of `if` is not required // `=` in `always_ff` is non-blocking assignment always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // always_ff statement without reset always_ff (i_clk) { r_data1 = r_data0; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » Module » Module","id":"37","title":"Module"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // instance declaration // `inst` keyword starts instance declaration // port connnection can be specified by `()` // each port connection is `[port_name]:[variable]` // `[port_name]` means `[port_name]:[port_name]` inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // instance declaration with parameter override // notation of parameter connection is the same as port inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » Instantiation » Instantiation","id":"38","title":"Instantiation"},"39":{"body":"// interface definition\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport definition modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // port declaration by modport intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // interface instantiation inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » Interface » Interface","id":"39","title":"Interface"},"4":{"body":"In this chapter, we introduce the features of Veryl along with clear examples. Real-time diagnostics Auto formatting Integrated test Dependency management Generics Trailing comma Abstraction of clock and reset Documentation comment Compound assignment operator in always_ff Individual namespace of enum variant repeat of concatenation if / case expression Range-based for / inside / outside msb notation let statement Named block Visibility control","breadcrumbs":"Features » Features","id":"4","title":"Features"},"40":{"body":"// package definition\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » Package » Package","id":"40","title":"Package"},"41":{"body":"In this chapter, we'll discuss the lauguage definition of Veryl.","breadcrumbs":"Language Reference » Language Reference","id":"41","title":"Language Reference"},"42":{"body":"Veryl's source code is composed by some module, interface and package. module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} The name of module, interface and package in the transpiled code will added project name as prefix. In the sample code, project_ will be added. It is to avoid name conflict between projects.","breadcrumbs":"Language Reference » Source Code Structure » Source Code Structure","id":"42","title":"Source Code Structure"},"43":{"body":"This chapter shows the lexical structure of Veryl. At the first, we'll discuss about the general parts in it.","breadcrumbs":"Language Reference » Lexical Structure » Lexical Structure","id":"43","title":"Lexical Structure"},"44":{"body":"The encoding of Veryl source code should be UTF-8.","breadcrumbs":"Language Reference » Lexical Structure » Encoding","id":"44","title":"Encoding"},"45":{"body":"(white space), \\t and \\n are treated as white space. All of them are skipped by Veryl's parser.","breadcrumbs":"Language Reference » Lexical Structure » White Space","id":"45","title":"White Space"},"46":{"body":"Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code. // single line comment /*\nmulti line comment\n*/","breadcrumbs":"Language Reference » Lexical Structure » Comment","id":"46","title":"Comment"},"47":{"body":"Signle line comment starts with /// is treated as documentation comment. Documentation comment is used for document generation. /// documentation comment","breadcrumbs":"Language Reference » Lexical Structure » Documentation comment","id":"47","title":"Documentation comment"},"48":{"body":"Identifier is composed with ASCII alphabet and number and _. Leading number is not allowed. The following regular expression shows the definition. [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » Lexical Structure » Identifier","id":"48","title":"Identifier"},"49":{"body":"String is surrounded by \". Escape by \\ can be used like \\\", \\n and so on. \"Hello, World!\"","breadcrumbs":"Language Reference » Lexical Structure » String","id":"49","title":"String"},"5":{"body":"Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor. In the following example, adding the _ prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings. diagnostics If the video does not play [1]","breadcrumbs":"Features » Real-time diagnostics","id":"5","title":"Real-time diagnostics"},"50":{"body":"Almost all operators are the same as SystemVerilog. Please be careful the some differences. <: less than operator which is the same as < in SystemVerilog. >: greater than operator which is the same as > in SystemVerilog. // unary arithmetic\na = +1;\na = -1; // unary logical\na = !1;\na = ~1; // unary reduce\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // binary arithmetic\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // binary shift\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // binary compare\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // binary bitwise\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // binary logical\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » Operator » Operator","id":"50","title":"Operator"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » Number » Number","id":"51","title":"Number"},"52":{"body":"// integer\n0123456789\n01_23_45_67_89 // binary\n32'b01xzXZ\n32'b01_xz_XZ // octal\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // decimal\n32'd0123456789\n32'd01_23_45_67_89 // hex\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » Number » Integer","id":"52","title":"Integer"},"53":{"body":"// all 0\n'0 // all 1\n'1 // all x\n'x\n'X // all z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » Number » Set all bits","id":"53","title":"Set all bits"},"54":{"body":"The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code. module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Widthless integer","id":"54","title":"Widthless integer"},"55":{"body":"The bit width specification can be added to \"set all bits\". module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Set sized bits","id":"55","title":"Set sized bits"},"56":{"body":"// floating point\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // floating with exponent\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » Number » Floating point","id":"56","title":"Floating point"},"57":{"body":"'{} represents array literal. In the literal, expression, repeat keyword and default keyword can be placed. module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » Array Literal » Array Literal","id":"57","title":"Array Literal"},"58":{"body":"In this chapter, we'll discuss about data type.","breadcrumbs":"Language Reference » Data Type » Data Type","id":"58","title":"Data Type"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » Builtin Type » Builtin Type","id":"59","title":"Builtin Type"},"6":{"body":"In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible. format If the video does not play [1]","breadcrumbs":"Features » Auto formatting","id":"6","title":"Auto formatting"},"60":{"body":"logic is 4-state (0, 1, x, z) data type. The variable width can be specified by <> after logic. Multi-dimentional can be specified by . module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 4-state data type which has variable width","id":"60","title":"4-state data type which has variable width"},"61":{"body":"bit is 2-state (0, 1) data type. The variable width can be specified by <> after bit. Multi-dimentional can be specified by . module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 2-state data type which has variable width","id":"61","title":"2-state data type which has variable width"},"62":{"body":"There are some integer types: u32: 32bit unsigned integer u64: 64bit unsigned integer i32: 32bit signed integer i64: 64bit signed integer module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Integer type","id":"62","title":"Integer type"},"63":{"body":"There are some floating point types: f32: 32bit floating point f64: 64bit floating point Both of them are represented as described by IEEE Std 754. module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Floating point type","id":"63","title":"Floating point type"},"64":{"body":"string is string type. module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » String type","id":"64","title":"String type"},"65":{"body":"type is a type which represents type kind. Variable of type can be defined as param or local only. module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Type type","id":"65","title":"Type type"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » User Defined Type » User Defined Type","id":"66","title":"User Defined Type"},"67":{"body":"struct is composite data type. It can contain some fields, and these fields can be access through . operator. module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Struct","id":"67","title":"Struct"},"68":{"body":"enum is enumerable type. It has some named variant, and the value of enum can be set to the one of them. The variant name can be specified by [enum name]::[variant name]. Each variant has the corresponding integral value. The value can be specified by =. Otherwise, it is assigned automatically. module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Enum","id":"68","title":"Enum"},"69":{"body":"A Veryl union is a packed, untagged sum type and is transpiled to SystemVerilog's packed union. Each union variant should have the same packed width as each other union variant. module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Union","id":"69","title":"Union"},"7":{"body":"Test code written by SystemVerilog can be embeded in Veryl code, it can be executed through veryl test command. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"Features » Integrated test","id":"7","title":"Integrated test"},"70":{"body":"The type keyword can be used to define a type alias to scalar or array types. module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Typedef","id":"70","title":"Typedef"},"71":{"body":"Array can be defined by appending [] to any data type. The length of array can be specified by the value in []. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} Multi-dimentional array can be defined by [X, Y, Z,,,]. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » Array » Array","id":"71","title":"Array"},"72":{"body":"clock is a special types to represent clock wiring. There are 3 variants to specify clock polarity. clock: clock type of which polarity is specified by the build option clock_posedge: clock type of which polarity is positive clock_negedge: clock type of which polarity is negative reset is a special types to represent reset wiring. There are 5 variants to specify reset polarity and synchronicity. reset: reset type of which polarity and synchronicity are specified by the build option reset_async_high: async/high active reset type reset_async_low: async/low active reset type reset_sync_high: sync/active high reset type reset_sync_low: sync/active low reset type If there is no special requirement, clock and reset are recommended for code reusability. module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » Clock / Reset » Clock / Reset","id":"72","title":"Clock / Reset"},"73":{"body":"In this chapter, we'll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.","breadcrumbs":"Language Reference » Expression » Expression","id":"73","title":"Expression"},"74":{"body":"In expression, operator precedence is almost the same as SystemVerilog. Operator Associativity Precedence () [] :: . Left Highest + - ! ~ & ~& | ~| ^ ~^ ^~ (unary) Left ** Left * / % Left + - (binary) Left << >> <<< >>> Left <: <= >: >= Left == != === !== ==? !=? Left & (binary) Left ^ ~^ ^~ (binary) Left | (binary) Left && Left || Left = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= None {} None Lowest","breadcrumbs":"Language Reference » Expression » Operator Precedence » Operator Precedence","id":"74","title":"Operator Precedence"},"75":{"body":"Function can be call by function_name(argument). System function of SystemVerilog like $clog2 can be used too. module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » Function Call » Function Call","id":"75","title":"Function Call"},"76":{"body":"{} represents bit concatenation. In {}, repeat keyword can be used to repeat specified operand. module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » Concatenation » Concatenation","id":"76","title":"Concatenation"},"77":{"body":"Conditional expression using if can be used. The section which represents condition is placed after if keyword, and () is not required surrounding it. else is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » If » If","id":"77","title":"If"},"78":{"body":"Another conditional expression is case. case containts some arms like expression: expression. If the expression after case keyword matches the left expression of an arm, the right expression of the arm will be returned. default is a special arm which will be returned when all other arms are failed. default is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » Case » Case","id":"78","title":"Case"},"79":{"body":"[] is bit select operator. If an expression is specified to [], single bit is selected. Bit range selection can be specified by [expression:expression]. module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » Bit Select » Bit Select","id":"79","title":"Bit Select"},"8":{"body":"Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"Features » Dependency management","id":"8","title":"Dependency management"},"80":{"body":"Range can be specified through range operator. There are two range operator: ..: half-open interval ..=: closed interval Range can be used at some description like for statement. module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » Range » Range","id":"80","title":"Range"},"81":{"body":"msb and lsb can be used in bit selection by []. msb means most significant bit of the operand. lsb means least significant bit of the operand, it is the same as 0. module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » Msb / Lsb » Msb / Lsb","id":"81","title":"Msb / Lsb"},"82":{"body":"inside check the specified expression is inside conditions which are specified in {}. Condition can be single expression or range . If the expression matches any condition, inside will return 1, otherwise 0. outside is vice versa. module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » Inside / Outside » Inside / Outside","id":"82","title":"Inside / Outside"},"83":{"body":"In this chapter, we'll discuss about statement. Statement can be used in some declaration like always_ff, always_comb.","breadcrumbs":"Language Reference » Statement » Statement","id":"83","title":"Statement"},"84":{"body":"Assignment statement is variable = expression;. Unlike SystemVerilog, assignment operator is = in both always_comb and always_ff. There are other assignment operators: +=: addition assignment -=: subtraction assignment *=: multiplication assignment /=: division assignment %=: remainder assignment &=: bitwise AND assignment |=: bitwise OR assignment ^=: bitwise XOR assignment <<=: logical left shift assignment >>=: logical right shift assignment <<<=: arithmetic left shift assignment >>>=: arithmetic right shift assignment module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » Assignment » Assignment","id":"84","title":"Assignment"},"85":{"body":"Function call can be used as statement. In this case, the return value of function will be ignored. module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » Function Call » Function Call","id":"85","title":"Function Call"},"86":{"body":"if can be used as statement. The difference from if expression is that statements are placed in {}. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » If » If","id":"86","title":"If"},"87":{"body":"case can be used as statement. The right-hand of arm is statement. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » Case » Case","id":"87","title":"Case"},"88":{"body":"for statement represent repetition. Loop variable is placed before in keyword, and range is placed after it. break can be used to break the loop. module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » For » For","id":"88","title":"For"},"89":{"body":"return statement represents return from function. The expression after return keyword is the return value of the function. module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » Return » Return","id":"89","title":"Return"},"9":{"body":"Code generation through generics achieves more reusable code than traditional parameter override. Prarmeters in function like the follwoign example, but also module names of instantiation, type names of struct definition, and so on can be parameterized. SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"Features » Generics","id":"9","title":"Generics"},"90":{"body":"let statement represents a name bound to a value. It can be used in always_ff, always_comb and function declaration. let statement can be placed anywhere in block. module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » Let » Let","id":"90","title":"Let"},"91":{"body":"In this chapter, we'll discuss about declaration.","breadcrumbs":"Language Reference » Declaration » Declaration","id":"91","title":"Declaration"},"92":{"body":"Variable declaration is started by var keyword. After var, variable name, :, and the type of the variable are followed. If there are unused variables, warning will be occured. Variable name starting with _ means unused variable, and suppresses the warning. If you want to bind a value to a name at the declaration, let can be used instead of var. module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » Variable » Variable","id":"92","title":"Variable"},"93":{"body":"Parameter can be declarated as the same as variable. param keyword can be used at module header, it can be overridden at instantiation. local keyword can be used in module, it can't be overridden. module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » Parameter » Parameter","id":"93","title":"Parameter"},"94":{"body":"If a variable is assigned in always_ff declaration, it becomes register variable. Register variable will be mapped to flip-flop in synthesis phase. always_ff has mandatory clock variable, optional reset variable, and {} block. Clock and reset are placed in (). The specified clock and reset should have clock / reset type and the witdh of them should be 1bit. if_reset is a special keyword which can be used in always_ff. It means reset condition of the register variable. If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml. module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » Register » Register","id":"94","title":"Register"},"95":{"body":"If a variable is assigned in always_comb declaration, it means combinational circuit. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » Combinational » Combinational","id":"95","title":"Combinational"},"96":{"body":"assign declaration can assign expression to variable. module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » Assign » Assign","id":"96","title":"Assign"},"97":{"body":"Function can be declared by function keyword. Arguments are placed in () and return type is placed after ->. If function doesn't have a return value, -> can be omitted. module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » Function » Function","id":"97","title":"Function"},"98":{"body":"Statements in initial are executed at the beginning of simulation, final is the end. Both will be ignored logical synthesis, and can be used as debug or assertion. module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » Initial / Final » Initial / Final","id":"98","title":"Initial / Final"},"99":{"body":"Attribute can annotate some declarations like variable declaration.","breadcrumbs":"Language Reference » Declaration » Attribute » Attribute","id":"99","title":"Attribute"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"71":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.23606797749979},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.0},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.0},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.0},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.0}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.0},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.7320508075688772},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.449489742783178},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"85":{"tf":1.0},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":14,"docs":{"11":{"tf":2.23606797749979},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.1622776601683795},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.23606797749979}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":34,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.7320508075688772},"34":{"tf":2.8284271247461903},"35":{"tf":2.449489742783178},"36":{"tf":2.23606797749979},"42":{"tf":2.0},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":1.7320508075688772},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.0},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.449489742783178},"47":{"tf":2.23606797749979}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":4,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.4142135623730951},"4":{"tf":1.0},"76":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":26,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.0},"146":{"tf":1.4142135623730951},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.0},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":6,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"71":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":25,"docs":{"101":{"tf":1.4142135623730951},"104":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"99":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":9,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":1.7320508075688772},"141":{"tf":3.1622776601683795},"142":{"tf":2.23606797749979},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.4142135623730951},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.4142135623730951},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":3,"docs":{"119":{"tf":1.7320508075688772},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":10,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.0},"148":{"tf":2.6457513110645907},"149":{"tf":2.23606797749979},"150":{"tf":1.4142135623730951},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.0},"31":{"tf":1.4142135623730951},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.23606797749979},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.23606797749979},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"119":{"tf":1.4142135623730951},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":13,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.23606797749979},"4":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":18,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":1.7320508075688772},"74":{"tf":1.0},"77":{"tf":1.4142135623730951},"78":{"tf":2.6457513110645907},"79":{"tf":1.0},"82":{"tf":1.7320508075688772},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":6,"docs":{"123":{"tf":1.0},"161":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.4142135623730951},"6":{"tf":1.0},"8":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"129":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.0}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.23606797749979}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.4142135623730951},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":1.7320508075688772},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.449489742783178}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":1.7320508075688772},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":1.7320508075688772}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.4142135623730951},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.0}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.1622776601683795},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":1.7320508075688772},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":6,"docs":{"22":{"tf":1.0},"23":{"tf":1.4142135623730951},"25":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.4142135623730951},"54":{"tf":1.4142135623730951},"62":{"tf":2.449489742783178}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":12,"docs":{"110":{"tf":1.0},"113":{"tf":1.4142135623730951},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.0}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.449489742783178},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.0},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.4142135623730951}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":11,"docs":{"0":{"tf":1.4142135623730951},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"147":{"tf":2.0},"149":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"137":{"tf":1.0},"153":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":1.7320508075688772}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.4142135623730951}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.0},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":1.4142135623730951},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":1.7320508075688772},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.4142135623730951},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.4142135623730951},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.4142135623730951},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":5,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":5,"docs":{"101":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"54":{"tf":1.4142135623730951},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":1.7320508075688772},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.0},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.7320508075688772},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":1.7320508075688772},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.23606797749979},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.4142135623730951},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":1.7320508075688772},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.0},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.4142135623730951},"63":{"tf":2.0}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":25,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.1622776601683795},"121":{"tf":1.4142135623730951},"122":{"tf":1.0},"123":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"134":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":1.4142135623730951},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.23606797749979},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":1.7320508075688772},"144":{"tf":3.605551275463989},"145":{"tf":2.23606797749979},"146":{"tf":2.0},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.4142135623730951},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.23606797749979},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"164":{"tf":1.0},"41":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.0}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.0},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.0},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.6457513110645907},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"94":{"tf":2.8284271247461903}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.0},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":4,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.0},"55":{"tf":1.4142135623730951},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":8,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":2.6457513110645907},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.0},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":3,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":8,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":1.4142135623730951},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":1.7320508075688772},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.4142135623730951},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.4142135623730951},"64":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.4142135623730951},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":4,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.0},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.23606797749979},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":2.8284271247461903},"120":{"tf":1.4142135623730951},"138":{"tf":1.7320508075688772},"155":{"tf":2.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":1.7320508075688772}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.0}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":1.4142135623730951},"59":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951},"64":{"tf":1.4142135623730951},"65":{"tf":3.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.449489742783178},"71":{"tf":1.0},"72":{"tf":3.1622776601683795},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.449489742783178}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.0}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"66":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":2.6457513110645907},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.23606797749979},"141":{"tf":2.23606797749979},"143":{"tf":3.872983346207417},"144":{"tf":1.7320508075688772},"145":{"tf":2.23606797749979},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":1.7320508075688772},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.0}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.7320508075688772}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.7320508075688772},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.7320508075688772},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":28,"docs":{"166":{"tf":1.7320508075688772},"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":2.0},"70":{"tf":1.0},"71":{"tf":2.449489742783178}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.449489742783178},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.242640687119285},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.449489742783178},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.449489742783178},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":2.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.23606797749979}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.4142135623730951}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.4142135623730951},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":2.0},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.449489742783178},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":2.23606797749979},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":2.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":7,"docs":{"59":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.6457513110645907},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":2.0}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"85":{"tf":1.0},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":14,"docs":{"11":{"tf":2.449489742783178},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.23606797749979}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":38,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":2.0},"34":{"tf":3.0},"35":{"tf":2.6457513110645907},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.449489742783178},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":2.0}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":2.0},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.23606797749979},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.6457513110645907},"47":{"tf":2.449489742783178}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":6,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.7320508075688772},"162":{"tf":1.0},"163":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.7320508075688772},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.7320508075688772},"4":{"tf":1.0},"76":{"tf":2.0}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":40,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.7320508075688772},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.4142135623730951},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.4142135623730951},"146":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.0},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":16,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":2.0},"59":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.4142135623730951},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":29,"docs":{"100":{"tf":1.0},"101":{"tf":1.7320508075688772},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":2.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":2.0},"112":{"tf":2.0},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":2.0},"92":{"tf":1.7320508075688772},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951},"98":{"tf":1.0},"99":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":12,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":2.0},"141":{"tf":3.4641016151377544},"142":{"tf":2.6457513110645907},"143":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":2.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.7320508075688772},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.7320508075688772},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":49,"docs":{"119":{"tf":2.23606797749979},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":11,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.449489742783178},"148":{"tf":3.0},"149":{"tf":2.6457513110645907},"150":{"tf":2.0},"151":{"tf":1.0},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.23606797749979},"164":{"tf":2.449489742783178},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.449489742783178}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.4142135623730951},"3":{"tf":1.0},"31":{"tf":1.7320508075688772},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.449489742783178},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.449489742783178},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.449489742783178},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":48,"docs":{"119":{"tf":2.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":27,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":17,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"40":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":22,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.7320508075688772},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":2.23606797749979},"74":{"tf":1.4142135623730951},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.7320508075688772},"78":{"tf":2.8284271247461903},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":2.0},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":21,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"12":{"tf":1.0},"123":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":2.0},"5":{"tf":1.0},"6":{"tf":1.4142135623730951},"7":{"tf":1.0},"8":{"tf":1.4142135623730951},"9":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.4142135623730951},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"129":{"tf":1.7320508075688772},"130":{"tf":1.7320508075688772},"131":{"tf":1.7320508075688772},"132":{"tf":1.7320508075688772},"133":{"tf":1.7320508075688772},"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":2.0},"63":{"tf":2.23606797749979}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.4142135623730951},"112":{"tf":1.4142135623730951}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.449489742783178}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.7320508075688772},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.449489742783178},"85":{"tf":2.23606797749979},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.8284271247461903}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":2.23606797749979},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.3166247903554},"115":{"tf":1.7320508075688772},"116":{"tf":1.7320508075688772},"117":{"tf":1.7320508075688772},"118":{"tf":1.7320508075688772},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":2.0}}}}},"t":{"df":14,"docs":{"22":{"tf":1.7320508075688772},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.7320508075688772},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.0}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.4641016151377544},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":2.0},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":10,"docs":{"22":{"tf":1.0},"23":{"tf":2.0},"24":{"tf":1.0},"25":{"tf":1.7320508075688772},"26":{"tf":1.0},"27":{"tf":1.7320508075688772},"28":{"tf":1.0},"29":{"tf":1.4142135623730951},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.7320508075688772},"54":{"tf":1.7320508075688772},"62":{"tf":2.6457513110645907}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":14,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":2.0},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.8284271247461903},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.449489742783178},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.7320508075688772},"2":{"tf":1.7320508075688772}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}},"t":{"df":4,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"2":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":85,"docs":{"0":{"tf":1.7320508075688772},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":2.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":5,"docs":{"147":{"tf":2.449489742783178},"148":{"tf":1.0},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"151":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":15,"docs":{"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.449489742783178}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.7320508075688772},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"137":{"tf":1.0},"153":{"tf":1.7320508075688772},"154":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":2.23606797749979}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.449489742783178},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.4142135623730951}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":2.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":2.0},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.7320508075688772},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.7320508075688772},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":10,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.7320508075688772},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":5,"docs":{"101":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"54":{"tf":1.4142135623730951},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":2.0},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.449489742783178},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":2.23606797749979},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":2.0},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.6457513110645907},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":2.23606797749979},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.23606797749979},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.23606797749979}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":39,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.4641016151377544},"121":{"tf":2.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.7320508075688772},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.4142135623730951},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":2.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.449489742783178},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":2.0},"144":{"tf":3.872983346207417},"145":{"tf":2.449489742783178},"146":{"tf":2.23606797749979},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.6457513110645907},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":79,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"164":{"tf":1.0},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.449489742783178}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.23606797749979},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.23606797749979},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.4142135623730951},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.8284271247461903},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.7416573867739413},"94":{"tf":2.8284271247461903}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.8284271247461903},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.7320508075688772},"128":{"tf":1.7320508075688772},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.7320508075688772},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.7320508075688772},"155":{"tf":1.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.7320508075688772},"158":{"tf":1.7320508075688772},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.449489742783178},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":29,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.4142135623730951},"55":{"tf":1.7320508075688772},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":9,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":3.0},"156":{"tf":2.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":5,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.4142135623730951}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.23606797749979},"149":{"tf":1.4142135623730951},"150":{"tf":1.4142135623730951},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":2.0},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":2.0}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":3,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":21,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":2.23606797749979},"84":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"88":{"tf":1.4142135623730951},"89":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.23606797749979},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.7320508075688772},"64":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":2.0},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":18,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.7320508075688772},"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.23606797749979},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":2.0},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.23606797749979},"148":{"tf":1.0},"149":{"tf":2.449489742783178},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":3.1622776601683795},"120":{"tf":1.4142135623730951},"138":{"tf":2.0},"155":{"tf":2.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":2.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.4142135623730951}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":2.0},"59":{"tf":2.0},"60":{"tf":2.23606797749979},"61":{"tf":2.23606797749979},"62":{"tf":2.23606797749979},"63":{"tf":2.23606797749979},"64":{"tf":2.23606797749979},"65":{"tf":3.605551275463989},"66":{"tf":2.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"69":{"tf":1.7320508075688772},"70":{"tf":2.8284271247461903},"71":{"tf":1.4142135623730951},"72":{"tf":3.3166247903554},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.6457513110645907}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.4142135623730951}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":5,"docs":{"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":3.0},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.7320508075688772},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.449489742783178},"141":{"tf":2.23606797749979},"143":{"tf":4.0},"144":{"tf":1.7320508075688772},"145":{"tf":2.449489742783178},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":2.0},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"11":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"57":{"tf":1.0},"71":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"13":{"tf":1.0},"84":{"tf":1.0},"96":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"100":{"tf":1.0},"99":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}},"o":{"df":1,"docs":{"6":{"tf":1.0}}}}}},"b":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":1,"docs":{"17":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"26":{"tf":1.0}}}}},"df":0,"docs":{}},"t":{"df":3,"docs":{"53":{"tf":1.0},"55":{"tf":1.0},"79":{"tf":1.0}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"103":{"tf":1.0},"20":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":2,"docs":{"128":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"145":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":2,"docs":{"75":{"tf":1.0},"85":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"25":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":5,"docs":{"29":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"95":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"10":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"161":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"13":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"15":{"tf":1.0},"76":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"146":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":3,"docs":{"58":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"91":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"66":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":4,"docs":{"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":2,"docs":{"0":{"tf":1.0},"125":{"tf":1.0}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":4,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"47":{"tf":1.0}}}}}}}},"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":1,"docs":{"26":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"28":{"tf":1.0},"31":{"tf":1.0}}}}}}},"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"14":{"tf":1.0},"68":{"tf":1.0}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"168":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"16":{"tf":1.0},"73":{"tf":1.0}}}}}}}}},"f":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":13,"docs":{"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"110":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}},"t":{"df":2,"docs":{"136":{"tf":1.0},"6":{"tf":1.0}},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"115":{"tf":1.0},"75":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":7,"docs":{"101":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"9":{"tf":1.0}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"23":{"tf":1.0},"25":{"tf":1.0}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":2,"docs":{"102":{"tf":1.0},"38":{"tf":1.0}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":3,"docs":{"52":{"tf":1.0},"54":{"tf":1.0},"62":{"tf":1.0}},"r":{"df":4,"docs":{"110":{"tf":1.0},"113":{"tf":1.0},"28":{"tf":1.0},"7":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.0}}}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":4,"docs":{"0":{"tf":1.0},"110":{"tf":1.0},"160":{"tf":1.0},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"153":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"57":{"tf":1.0}}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"8":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":2,"docs":{"105":{"tf":1.0},"37":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":3,"docs":{"103":{"tf":1.0},"122":{"tf":1.0},"20":{"tf":1.0}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"51":{"tf":1.0}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"13":{"tf":1.0},"50":{"tf":1.0},"74":{"tf":1.0}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"107":{"tf":1.0},"117":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"163":{"tf":1.0},"93":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"144":{"tf":1.0},"33":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":2,"docs":{"139":{"tf":1.0},"144":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"41":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":2,"docs":{"143":{"tf":1.0},"24":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":12,"docs":{"121":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"79":{"tf":1.0}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"168":{"tf":1.0}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"160":{"tf":1.0}}}}}},"t":{"df":2,"docs":{"53":{"tf":1.0},"55":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"155":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":2,"docs":{"148":{"tf":1.0},"149":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"19":{"tf":1.0},"83":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":3,"docs":{"163":{"tf":1.0},"49":{"tf":1.0},"64":{"tf":1.0}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"118":{"tf":1.0},"67":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"42":{"tf":1.0},"43":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"x":{"df":2,"docs":{"1":{"tf":1.0},"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"132":{"tf":1.0},"149":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":4,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"156":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"5":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":9,"docs":{"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.4142135623730951},"66":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"69":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"66":{"tf":1.0}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"92":{"tf":1.0}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"109":{"tf":1.0},"21":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"25":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}} \ No newline at end of file +{"doc_urls":["01_introduction.html#the-veryl-hardware-description-language","01_introduction.html#optimized-syntax","01_introduction.html#interoperability","01_introduction.html#productivity","02_features.html#features","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#getting-started","03_getting_started/01_installation.html#installation","03_getting_started/01_installation.html#requirement","03_getting_started/01_installation.html#choose-a-way-of-installation","03_getting_started/01_installation.html#download-binary","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#editor-integration","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#other-editors","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#create-project","03_getting_started/02_hello_world.html#write-code","03_getting_started/02_hello_world.html#build-code","04_code_examples.html#code-examples","04_code_examples/01_module.html#module","04_code_examples/02_instantiation.html#instantiation","04_code_examples/03_interface.html#interface","04_code_examples/04_package.html#package","05_language_reference.html#language-reference","05_language_reference/01_source_code_structure.html#source-code-structure","05_language_reference/02_lexical_structure.html#lexical-structure","05_language_reference/02_lexical_structure.html#encoding","05_language_reference/02_lexical_structure.html#white-space","05_language_reference/02_lexical_structure.html#comment","05_language_reference/02_lexical_structure.html#documentation-comment","05_language_reference/02_lexical_structure.html#identifier","05_language_reference/02_lexical_structure.html#string","05_language_reference/02_lexical_structure/01_operator.html#operator","05_language_reference/02_lexical_structure/02_number.html#number","05_language_reference/02_lexical_structure/02_number.html#integer","05_language_reference/02_lexical_structure/02_number.html#set-all-bits","05_language_reference/02_lexical_structure/02_number.html#widthless-integer","05_language_reference/02_lexical_structure/02_number.html#set-sized-bits","05_language_reference/02_lexical_structure/02_number.html#floating-point","05_language_reference/02_lexical_structure/03_array_literal.html#array-literal","05_language_reference/03_data_type.html#data-type","05_language_reference/03_data_type/01_builtin_type.html#builtin-type","05_language_reference/03_data_type/01_builtin_type.html#4-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#2-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#integer-type","05_language_reference/03_data_type/01_builtin_type.html#floating-point-type","05_language_reference/03_data_type/01_builtin_type.html#string-type","05_language_reference/03_data_type/01_builtin_type.html#type-type","05_language_reference/03_data_type/02_user_defined_type.html#user-defined-type","05_language_reference/03_data_type/02_user_defined_type.html#struct","05_language_reference/03_data_type/02_user_defined_type.html#enum","05_language_reference/03_data_type/02_user_defined_type.html#union","05_language_reference/03_data_type/02_user_defined_type.html#typedef","05_language_reference/03_data_type/03_array.html#array","05_language_reference/03_data_type/04_clock_reset.html#clock--reset","05_language_reference/04_expression.html#expression","05_language_reference/04_expression/01_operator_precedence.html#operator-precedence","05_language_reference/04_expression/02_function_call.html#function-call","05_language_reference/04_expression/03_concatenation.html#concatenation","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#bit-select","05_language_reference/04_expression/07_range.html#range","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#statement","05_language_reference/05_statement/01_assignment.html#assignment","05_language_reference/05_statement/02_function_call.html#function-call","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#declaration","05_language_reference/06_declaration/01_variable.html#variable","05_language_reference/06_declaration/02_parameter.html#parameter","05_language_reference/06_declaration/03_register.html#register","05_language_reference/06_declaration/04_combinational.html#combinational","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#function","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#attribute","05_language_reference/06_declaration/08_attribute.html#sv-attribute","05_language_reference/06_declaration/09_generate.html#generate","05_language_reference/06_declaration/10_instantiation.html#instantiation","05_language_reference/06_declaration/11_named_block.html#named-block","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#module","05_language_reference/08_interface.html#interface","05_language_reference/09_package.html#package","05_language_reference/10_systemverilog_interoperation.html#systemverilog-interoperation","05_language_reference/11_visibility.html#visibility","05_language_reference/12_foreign_language_integration.html#foreign-language-integration","05_language_reference/12_foreign_language_integration.html#embed-declaration","05_language_reference/12_foreign_language_integration.html#include-declaration","05_language_reference/13_integrated_test.html#integrated-test","05_language_reference/14_generics.html#generics","05_language_reference/14_generics.html#generic-function","05_language_reference/14_generics.html#generic-moduleinterface","05_language_reference/14_generics.html#generic-package","05_language_reference/14_generics.html#generic-struct","06_development_environment.html#development-environment","06_development_environment/01_project_configuration.html#project-configuration","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#dependencies","06_development_environment/02_dependencies.html#usage-of-dependency","06_development_environment/02_dependencies.html#version-requirement","06_development_environment/03_publish_project.html#publish-project","06_development_environment/03_publish_project.html#version-bump","06_development_environment/03_publish_project.html#configuration","06_development_environment/04_directory_layout.html#directory-layout","06_development_environment/04_directory_layout.html#single-source-directory","06_development_environment/04_directory_layout.html#single-source-and-target-directory","06_development_environment/04_directory_layout.html#multi-source-directory","06_development_environment/04_directory_layout.html#about-gitignore","06_development_environment/05_formatter.html#formatter","06_development_environment/06_linter.html#linter","06_development_environment/06_linter.html#the-lintnaming-section","06_development_environment/07_simulator.html#simulator","06_development_environment/07_simulator.html#the-test-section","06_development_environment/07_simulator.html#the-testverilator-section","06_development_environment/07_simulator.html#the-testvcs-section","06_development_environment/07_simulator.html#the-testvivado-section","06_development_environment/08_language_server.html#language-server","06_development_environment/09_compatibility.html#compatibility","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#string-parameter","06_development_environment/10_documentation.html#documentation","06_development_environment/11_github_action.html#github-action","07_appendix.html#appendix","07_appendix/01_formal_syntax.html#formal-syntax","07_appendix/02_semantic_error.html#semantic-error","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":10,"breadcrumbs":5,"title":4},"1":{"body":41,"breadcrumbs":3,"title":2},"10":{"body":38,"breadcrumbs":3,"title":2},"100":{"body":18,"breadcrumbs":6,"title":2},"101":{"body":25,"breadcrumbs":5,"title":1},"102":{"body":58,"breadcrumbs":5,"title":1},"103":{"body":17,"breadcrumbs":7,"title":2},"104":{"body":60,"breadcrumbs":7,"title":2},"105":{"body":84,"breadcrumbs":4,"title":1},"106":{"body":53,"breadcrumbs":4,"title":1},"107":{"body":24,"breadcrumbs":4,"title":1},"108":{"body":30,"breadcrumbs":6,"title":2},"109":{"body":35,"breadcrumbs":4,"title":1},"11":{"body":79,"breadcrumbs":4,"title":3},"110":{"body":0,"breadcrumbs":8,"title":3},"111":{"body":36,"breadcrumbs":7,"title":2},"112":{"body":19,"breadcrumbs":7,"title":2},"113":{"body":72,"breadcrumbs":6,"title":2},"114":{"body":82,"breadcrumbs":4,"title":1},"115":{"body":15,"breadcrumbs":5,"title":2},"116":{"body":17,"breadcrumbs":5,"title":2},"117":{"body":15,"breadcrumbs":5,"title":2},"118":{"body":33,"breadcrumbs":5,"title":2},"119":{"body":10,"breadcrumbs":4,"title":2},"12":{"body":38,"breadcrumbs":3,"title":2},"120":{"body":68,"breadcrumbs":6,"title":2},"121":{"body":8,"breadcrumbs":6,"title":2},"122":{"body":14,"breadcrumbs":6,"title":2},"123":{"body":29,"breadcrumbs":6,"title":2},"124":{"body":37,"breadcrumbs":6,"title":2},"125":{"body":7,"breadcrumbs":6,"title":2},"126":{"body":19,"breadcrumbs":6,"title":2},"127":{"body":10,"breadcrumbs":6,"title":2},"128":{"body":6,"breadcrumbs":6,"title":2},"129":{"body":18,"breadcrumbs":6,"title":2},"13":{"body":42,"breadcrumbs":5,"title":4},"130":{"body":25,"breadcrumbs":6,"title":2},"131":{"body":27,"breadcrumbs":6,"title":2},"132":{"body":36,"breadcrumbs":6,"title":2},"133":{"body":26,"breadcrumbs":6,"title":2},"134":{"body":13,"breadcrumbs":6,"title":2},"135":{"body":10,"breadcrumbs":6,"title":2},"136":{"body":9,"breadcrumbs":6,"title":2},"137":{"body":8,"breadcrumbs":6,"title":2},"138":{"body":10,"breadcrumbs":6,"title":2},"139":{"body":8,"breadcrumbs":6,"title":2},"14":{"body":33,"breadcrumbs":5,"title":4},"140":{"body":8,"breadcrumbs":6,"title":2},"141":{"body":65,"breadcrumbs":4,"title":1},"142":{"body":52,"breadcrumbs":5,"title":2},"143":{"body":85,"breadcrumbs":5,"title":2},"144":{"body":94,"breadcrumbs":6,"title":2},"145":{"body":53,"breadcrumbs":6,"title":2},"146":{"body":36,"breadcrumbs":5,"title":1},"147":{"body":20,"breadcrumbs":6,"title":2},"148":{"body":61,"breadcrumbs":7,"title":3},"149":{"body":39,"breadcrumbs":8,"title":4},"15":{"body":29,"breadcrumbs":3,"title":2},"150":{"body":40,"breadcrumbs":7,"title":3},"151":{"body":17,"breadcrumbs":5,"title":1},"152":{"body":32,"breadcrumbs":4,"title":1},"153":{"body":24,"breadcrumbs":4,"title":1},"154":{"body":430,"breadcrumbs":5,"title":2},"155":{"body":36,"breadcrumbs":4,"title":1},"156":{"body":18,"breadcrumbs":5,"title":2},"157":{"body":20,"breadcrumbs":5,"title":2},"158":{"body":20,"breadcrumbs":5,"title":2},"159":{"body":26,"breadcrumbs":5,"title":2},"16":{"body":40,"breadcrumbs":3,"title":2},"160":{"body":30,"breadcrumbs":6,"title":2},"161":{"body":13,"breadcrumbs":4,"title":1},"162":{"body":0,"breadcrumbs":4,"title":1},"163":{"body":20,"breadcrumbs":5,"title":2},"164":{"body":125,"breadcrumbs":4,"title":1},"165":{"body":106,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":2,"title":1},"167":{"body":2256,"breadcrumbs":5,"title":2},"168":{"body":0,"breadcrumbs":5,"title":2},"169":{"body":0,"breadcrumbs":4,"title":1},"17":{"body":42,"breadcrumbs":5,"title":4},"170":{"body":0,"breadcrumbs":4,"title":1},"171":{"body":0,"breadcrumbs":4,"title":1},"172":{"body":0,"breadcrumbs":4,"title":1},"173":{"body":0,"breadcrumbs":4,"title":1},"174":{"body":0,"breadcrumbs":4,"title":1},"175":{"body":0,"breadcrumbs":4,"title":1},"176":{"body":0,"breadcrumbs":4,"title":1},"177":{"body":0,"breadcrumbs":4,"title":1},"178":{"body":0,"breadcrumbs":4,"title":1},"179":{"body":0,"breadcrumbs":4,"title":1},"18":{"body":31,"breadcrumbs":3,"title":2},"180":{"body":0,"breadcrumbs":4,"title":1},"181":{"body":0,"breadcrumbs":4,"title":1},"182":{"body":0,"breadcrumbs":4,"title":1},"183":{"body":0,"breadcrumbs":4,"title":1},"184":{"body":0,"breadcrumbs":4,"title":1},"185":{"body":0,"breadcrumbs":4,"title":1},"186":{"body":0,"breadcrumbs":4,"title":1},"187":{"body":0,"breadcrumbs":4,"title":1},"188":{"body":0,"breadcrumbs":4,"title":1},"189":{"body":0,"breadcrumbs":4,"title":1},"19":{"body":35,"breadcrumbs":2,"title":1},"190":{"body":0,"breadcrumbs":4,"title":1},"191":{"body":0,"breadcrumbs":4,"title":1},"192":{"body":0,"breadcrumbs":4,"title":1},"193":{"body":0,"breadcrumbs":4,"title":1},"2":{"body":27,"breadcrumbs":2,"title":1},"20":{"body":13,"breadcrumbs":3,"title":2},"21":{"body":42,"breadcrumbs":3,"title":2},"22":{"body":11,"breadcrumbs":4,"title":2},"23":{"body":10,"breadcrumbs":4,"title":1},"24":{"body":9,"breadcrumbs":4,"title":1},"25":{"body":0,"breadcrumbs":6,"title":3},"26":{"body":6,"breadcrumbs":5,"title":2},"27":{"body":7,"breadcrumbs":4,"title":1},"28":{"body":7,"breadcrumbs":5,"title":2},"29":{"body":28,"breadcrumbs":6,"title":3},"3":{"body":46,"breadcrumbs":2,"title":1},"30":{"body":23,"breadcrumbs":5,"title":2},"31":{"body":11,"breadcrumbs":4,"title":1},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":39,"breadcrumbs":6,"title":2},"34":{"body":60,"breadcrumbs":6,"title":2},"35":{"body":64,"breadcrumbs":6,"title":2},"36":{"body":66,"breadcrumbs":4,"title":2},"37":{"body":125,"breadcrumbs":4,"title":1},"38":{"body":100,"breadcrumbs":4,"title":1},"39":{"body":63,"breadcrumbs":4,"title":1},"4":{"body":47,"breadcrumbs":2,"title":1},"40":{"body":26,"breadcrumbs":4,"title":1},"41":{"body":6,"breadcrumbs":4,"title":2},"42":{"body":34,"breadcrumbs":8,"title":3},"43":{"body":10,"breadcrumbs":6,"title":2},"44":{"body":6,"breadcrumbs":5,"title":1},"45":{"body":10,"breadcrumbs":6,"title":2},"46":{"body":17,"breadcrumbs":5,"title":1},"47":{"body":14,"breadcrumbs":6,"title":2},"48":{"body":19,"breadcrumbs":5,"title":1},"49":{"body":7,"breadcrumbs":5,"title":1},"5":{"body":29,"breadcrumbs":4,"title":3},"50":{"body":95,"breadcrumbs":6,"title":1},"51":{"body":0,"breadcrumbs":6,"title":1},"52":{"body":15,"breadcrumbs":6,"title":1},"53":{"body":10,"breadcrumbs":7,"title":2},"54":{"body":29,"breadcrumbs":7,"title":2},"55":{"body":24,"breadcrumbs":8,"title":3},"56":{"body":9,"breadcrumbs":7,"title":2},"57":{"body":35,"breadcrumbs":8,"title":2},"58":{"body":5,"breadcrumbs":6,"title":2},"59":{"body":0,"breadcrumbs":8,"title":2},"6":{"body":18,"breadcrumbs":3,"title":2},"60":{"body":31,"breadcrumbs":12,"title":6},"61":{"body":29,"breadcrumbs":12,"title":6},"62":{"body":32,"breadcrumbs":8,"title":2},"63":{"body":25,"breadcrumbs":9,"title":3},"64":{"body":7,"breadcrumbs":8,"title":2},"65":{"body":23,"breadcrumbs":8,"title":2},"66":{"body":0,"breadcrumbs":10,"title":3},"67":{"body":31,"breadcrumbs":8,"title":1},"68":{"body":37,"breadcrumbs":8,"title":1},"69":{"body":39,"breadcrumbs":8,"title":1},"7":{"body":25,"breadcrumbs":3,"title":2},"70":{"body":23,"breadcrumbs":8,"title":1},"71":{"body":112,"breadcrumbs":6,"title":1},"72":{"body":131,"breadcrumbs":8,"title":2},"73":{"body":12,"breadcrumbs":4,"title":1},"74":{"body":30,"breadcrumbs":7,"title":2},"75":{"body":27,"breadcrumbs":7,"title":2},"76":{"body":28,"breadcrumbs":5,"title":1},"77":{"body":30,"breadcrumbs":3,"title":0},"78":{"body":54,"breadcrumbs":5,"title":1},"79":{"body":29,"breadcrumbs":7,"title":2},"8":{"body":23,"breadcrumbs":3,"title":2},"80":{"body":26,"breadcrumbs":5,"title":1},"81":{"body":30,"breadcrumbs":7,"title":2},"82":{"body":46,"breadcrumbs":7,"title":2},"83":{"body":9,"breadcrumbs":4,"title":1},"84":{"body":76,"breadcrumbs":5,"title":1},"85":{"body":14,"breadcrumbs":7,"title":2},"86":{"body":22,"breadcrumbs":3,"title":0},"87":{"body":32,"breadcrumbs":5,"title":1},"88":{"body":23,"breadcrumbs":3,"title":0},"89":{"body":18,"breadcrumbs":5,"title":1},"9":{"body":80,"breadcrumbs":2,"title":1},"90":{"body":47,"breadcrumbs":3,"title":0},"91":{"body":4,"breadcrumbs":4,"title":1},"92":{"body":62,"breadcrumbs":5,"title":1},"93":{"body":27,"breadcrumbs":5,"title":1},"94":{"body":102,"breadcrumbs":5,"title":1},"95":{"body":17,"breadcrumbs":5,"title":1},"96":{"body":11,"breadcrumbs":5,"title":1},"97":{"body":37,"breadcrumbs":5,"title":1},"98":{"body":20,"breadcrumbs":7,"title":2},"99":{"body":5,"breadcrumbs":5,"title":1}},"docs":{"0":{"body":"Veryl Veryl is a hardware description language based on SystemVerilog, providing the following advantages:","breadcrumbs":"Introduction » The Veryl Hardware Description Language","id":"0","title":"The Veryl Hardware Description Language"},"1":{"body":"Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.","breadcrumbs":"Introduction » Optimized Syntax","id":"1","title":"Optimized Syntax"},"10":{"body":"Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems. SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"Features » Trailing comma","id":"10","title":"Trailing comma"},"100":{"body":"SV attribute represents SystemVerilog attribute. It will be transpiled to SystemVerilog attribute (* *). module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » Attribute » SV Attribute","id":"100","title":"SV Attribute"},"101":{"body":"Declaration can be generated by for and if. Label which is shown by : is required to idenfity the generated declarations. module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // label of else clause can be omit assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » Generate » Generate","id":"101","title":"Generate"},"102":{"body":"inst keyword represents instantiation of modula and interface. The name of instance is placed after inst keyword, and the type of instance is placed after :. Parameter override is #(), and port connection is (). module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // Parameter assignment by name paramB: 10, ) ( a , // Port connection by name bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » Instantiation » Instantiation","id":"102","title":"Instantiation"},"103":{"body":"Label can be added to {} block. The named block has an individual namespace. module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » Named Block » Named Block","id":"103","title":"Named Block"},"104":{"body":"import declaration imports symbols from other packages. It can be placed at the top level or as a module/interface/package item. Wildcard pattern like package::* can be used as an argument of import declaration. // file scope import\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export declaration exports symbols from the package to other. export * represents to export all symbols. package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic. Overridable parameters can be declared in #(). Each parameter declaration is started by param keyword. After the keyword, an identifier, :, the type of the parameter, and a default value are placed. Connection ports can be declared in (). Each port declaration is constructed by an identifier, :, port direction, and the type of the port. The available port directions are: input: input port output: output port inout: bi-directional port modport: modport of interface module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » Module » Module","id":"105","title":"Module"},"106":{"body":"Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions. Overridable parameters are the same as them of module. In interface definitions, modport can be declared. modport can be used as bundled port connection at the port declaration of module. interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » Interface » Interface","id":"106","title":"Interface"},"107":{"body":"Package is one of top level components in source code. Package can organize some declarations like parameter and function. To access an item in a package, :: symbol can be used like PackageA::ParamA. package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » Package » Package","id":"107","title":"Package"},"108":{"body":"If you want to access to items of SystemVerilog, $sv namespace can be used. For example, \"ModuleA\" in SystemVerilog source code can be accessed by $sv::ModuleA. Veryl don't check the existence of the items. module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilog Interoperation » SystemVerilog Interoperation","id":"108","title":"SystemVerilog Interoperation"},"109":{"body":"By default, all top level items of a project (module, interface and package) are private. The \"private\" means they are not visible from other project. pub keyword can be used to specify an item as public to other project. veryl doc will generate documents of public items only. pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » Visibility » Visibility","id":"109","title":"Visibility"},"11":{"body":"There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code. Additionally, explicit clock and reset type enables to check whether clock and reset are correctly connected to registers. If there is a single clock and reset in the module, the connection can be omitted. SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff { if_reset { } else { } }\n}","breadcrumbs":"Features » Abstraction of clock and reset","id":"11","title":"Abstraction of clock and reset"},"110":{"body":"","breadcrumbs":"Language Reference » Foreign Language Integration » Foreign Language Integration","id":"110","title":"Foreign Language Integration"},"111":{"body":"embed declaration can embed the code of foreign languages. The first argument of embed declaration shows the way of embedding. The following ways are supported: inline: expand the code as is The code block are started by lang{{{ and ended by }}}. The following lang specifiers are supported: sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » Foreign Language Integration » embed declaration","id":"111","title":"embed declaration"},"112":{"body":"include declaration can include a file of foreign languages. The first argument is the same as embed declaration, and the second is a relative file path from the source code. include(inline, \"module.sv\");","breadcrumbs":"Language Reference » Foreign Language Integration » include declaration","id":"112","title":"include declaration"},"113":{"body":"Integrated test can be marked by #[test(test_name)] attribute. The marked block will be identified as test, and executed through veryl test command. The top level module of the block must have the same name as the test name. The messages through $info, $warning, $error and $fatal system function are handled by Veryl compiler, and shown as exectution log. The calls of $error and $fatal are treated as test failure. The following example, a SystemVerilog source code embeded by embed declaration are marked as test. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} About RTL simulator used by veryl test, see Simulator .","breadcrumbs":"Language Reference » Integrated Test » Integrated Test","id":"113","title":"Integrated Test"},"114":{"body":"Generics can define parameterized items which can't achieved by parameter override. The following items support generics: function module interface package struct union Each generic definition has generic parameters (often an uppercase letter is used like T) which can be placed as identifier or expression in the definition. Generic parameters are declarated after item's identifier with ::<>. At the usage of generics, actual parameters can be given through ::<>. As the actual parameters, numeric literal and identifier concatenated by :: can be used. Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can't be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.","breadcrumbs":"Language Reference » Generics » Generics","id":"114","title":"Generics"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » Generics » Generic Function","id":"115","title":"Generic Function"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » Generics » Generic Module/Interface","id":"116","title":"Generic Module/Interface"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » Generics » Generic Package","id":"117","title":"Generic Package"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // local defined type can be used // because `TypeA` is accessible at the definition of `StructA` var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » Generics » Generic Struct","id":"118","title":"Generic Struct"},"119":{"body":"In this chapter, we'll discuss about development environment including project configuration and development tools.","breadcrumbs":"Development Environment » Development Environment","id":"119","title":"Development Environment"},"12":{"body":"Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also MarkDown format or waveform descriptions using WaveDrom . SystemVerilog\nVeryl // Comment\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"Features » Documentation comment","id":"12","title":"Documentation comment"},"120":{"body":"[project] --- Defines a project. name --- The name of the project. version --- The version of the project. authors --- The authors of the project. description --- A description of the project. license --- The project license. repository --- URL of the project source repository. [build] --- Build settings. clock_type --- The type of clock. reset_type --- The type of reset. filelist_type --- The type of filelist. target --- The way of output. implicit_parameter_types --- Whether implicit parameter type is enabled. omit_project_prefix --- Whether omit project prefix. strip_comments --- Whether strip comments. [format] --- Format settings. [lint] --- Lint settings. [test] --- Test settings. [publish] --- Publish settings. [dependencies] --- Library dependencies.","breadcrumbs":"Development Environment » Project Configuration » Project Configuration","id":"120","title":"Project Configuration"},"121":{"body":"The first section of Veryl.toml is [project]. The mandatory fields are name and version.","breadcrumbs":"Development Environment » Project Configuration » The [project] section","id":"121","title":"The [project] section"},"122":{"body":"The project name is used as prefix in the generated codes. So the name must start with alphabet or _, and use only alphanumeric charaters or _.","breadcrumbs":"Development Environment » Project Configuration » The name field","id":"122","title":"The name field"},"123":{"body":"The project version should follow Semantic Versioning . The version is constructed by the following three numbers. Major -- increment at incompatible changes Minor -- increment at adding features with backward compatibility Patch -- increment at bug fixes with backward compatibility [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » Project Configuration » The version field","id":"123","title":"The version field"},"124":{"body":"The optional authors field lists in an array the people or organizations that are considered the \"authors\" of the project. The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used. [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » Project Configuration » The authors field","id":"124","title":"The authors field"},"125":{"body":"The description is a short blurb about the project. This should be plane text (not Markdown).","breadcrumbs":"Development Environment » Project Configuration » The description field","id":"125","title":"The description field"},"126":{"body":"The license field contains the name of license that the project is released under. The string should be follow SPDX 2.3 license expression . [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » Project Configuration » The license field","id":"126","title":"The license field"},"127":{"body":"The repository field should be a URL to the source repository for the project. [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » Project Configuration » The repository field","id":"127","title":"The repository field"},"128":{"body":"The [build] section contains the configurations of code generation.","breadcrumbs":"Development Environment » Project Configuration » The [build] section","id":"128","title":"The [build] section"},"129":{"body":"The clock_type field specifies which clock edge is used to drive flip-flop. The available types are below: posedge -- positive edge negedge -- negetive edge","breadcrumbs":"Development Environment » Project Configuration » The clock_type field","id":"129","title":"The clock_type field"},"13":{"body":"There is no dedicated non-blocking assignment operator; within always_ff, non-blocking assignments are inferred, while within always_comb, blocking assignments are inferred. Therefore, various compound assignment operators can be used within always_ff just like within always_comb. SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"Features » Compound assignment operator in always_ff","id":"13","title":"Compound assignment operator in always_ff"},"130":{"body":"The reset_type field specifies reset polarity and synchronisity. The available types are below: async_low -- asynchronous and active low async_high -- asynchronous and active high sync_low -- synchronous and active low sync_high -- synchronous and active high","breadcrumbs":"Development Environment » Project Configuration » The reset_type field","id":"130","title":"The reset_type field"},"131":{"body":"The filelist_type field specifies filelist format. The available types are below: absolute -- plane text filelist including absolute file paths relative -- plane text filelist including relative file paths flgen -- flgen filelist","breadcrumbs":"Development Environment » Project Configuration » The filelist_type field","id":"131","title":"The filelist_type field"},"132":{"body":"The target field specifies where the generated codes will be placed at. The available types are below: source -- as the same directory as the source code directory -- specified directory bundle -- specified file If you want to use directory or bundle, you should specify the target path by path key. [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » Project Configuration » The target field","id":"132","title":"The target field"},"133":{"body":"The implicit_parameter_types field lists the types which will be elided in parameter declaration of the generated codes. This is because some EDA tools don't support parameter declaration with specific types (ex.string). If you want to elide string, you can specify like below: [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » Project Configuration » The implicit_parameter_types field","id":"133","title":"The implicit_parameter_types field"},"134":{"body":"If omit_project_prefix is set to true, the project prefix of module/interface/package name will be omitted. This is false by default. [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » Project Configuration » The omit_project_prefix field","id":"134","title":"The omit_project_prefix field"},"135":{"body":"If strip_comments is set to true, all comments will be stripped. This is false by default. [build]\nstrip_comments = true","breadcrumbs":"Development Environment » Project Configuration » The strip_comments field","id":"135","title":"The strip_comments field"},"136":{"body":"The [format] section contains the configurations of code formatter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [format] section","id":"136","title":"The [format] section"},"137":{"body":"The [lint] section contains the configurations of linter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [lint] section","id":"137","title":"The [lint] section"},"138":{"body":"The [test] section contains the configurations of test by RTL simulator. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [test] section","id":"138","title":"The [test] section"},"139":{"body":"The [publish] section contains the configurations of publishing. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [publish] section","id":"139","title":"The [publish] section"},"14":{"body":"Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions. SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"Features » Individual namespace of enum variant","id":"14","title":"Individual namespace of enum variant"},"140":{"body":"The [dependencies] section contains library dependencies. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [dependencies] section","id":"140","title":"The [dependencies] section"},"141":{"body":"If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies] section in Veryl.toml. The left hand side of entry is path to the dependency, and the right hand side is version. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" By default, the namespace of the dependency is the same as the project name of the dependency. If you want to specify namespace, you can use name field. [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} If you want to use many versions of the same dependency path, you can specify each name. [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » Dependencies » Dependencies","id":"141","title":"Dependencies"},"142":{"body":"After adding dependencies to Veryl.toml, you can use moudle, interface and package in the dependencies. The following example uses delay module in the veryl_sample dependency. module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} Note: The result of play button in the above code is not exact because it doesn't use dependency resolution. Actually the module name becomes veryl_samlle_delay","breadcrumbs":"Development Environment » Dependencies » Usage of dependency","id":"142","title":"Usage of dependency"},"143":{"body":"The version field of [dependencies] section shows version requirement. For example, version = \"0.1.0\" means the latest version which has compatibility with 0.1.0. The compatibility is judged by Semantic Versioning . A version is constructed from the following three parts. MAJOR version when you make incompatible API changes MINOR version when you add functionality in a backwards compatible manner PATCH version when you make backwards compatible bug fixes If MAJOR version is 0, MINOR is interpreted as incompatible changes. If there are 0.1.0 and 0.1.1 and 0.2.0, 0.1.1 will be selected. This is because 0.1.0 is compatible with 0.1.0. 0.1.1 is compatible with 0.1.0. 0.2.0 is not compatible with 0.1.0. 0.1.1 is the latest in the compatible versions. The version field allows other version requirement reprensentation like =0.1.0. Please see version requirement of Rust for detailed information: Specifying Dependencies .","breadcrumbs":"Development Environment » Dependencies » Version Requirement","id":"143","title":"Version Requirement"},"144":{"body":"To publish your project, veryl publish can be used. Publising means to associate a version with a git revision. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish generates Veryl.pub which contains published version information like below. [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" After generating Veryl.pub, publishing sequence is completed by git add, commit and push. The git branch to be committed must be the default branch because Veryl search Veryl.pub in the default branch. $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push If you enable automatic commit by publish_commit in [publish] section of Veryl.toml, git add and commit will be executed after publish. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Publish Project","id":"144","title":"Publish Project"},"145":{"body":"You can bump version with publish at the same time by --bump option. As the same as publish, bump_commit in [publish] section of Veryl.toml can specify automatic commit after bump version. $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Version Bump","id":"145","title":"Version Bump"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" Configuration Value Default Description bump_commit boolean false automatic commit after bump publish_commit boolean false automatic commit after publish bump_commit_mesasge string \"chore: Bump version\" commit message after bump publish_commit_mesasge string \"chore: Publish\" commit message after publish","breadcrumbs":"Development Environment » Publish Project » Configuration","id":"146","title":"Configuration"},"147":{"body":"Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different. In this section, we suggest some directory layout patterns.","breadcrumbs":"Development Environment » Directory Layout » Directory Layout","id":"147","title":"Directory Layout"},"148":{"body":"This pattern contains all sources in src directory. In src, you can configure arbitrary sub directories. $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl gathers all *.veryl files and generates codes at the same directory as the source by default. You can show the behaviour explicitly by the following configuration. [build]\ntarget = \"source\" After veryl build, the directory structure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source directory","id":"148","title":"Single source directory"},"149":{"body":"If you want to place the generated codes into a directory, you can use target configure in [build] section of Veryl.toml. [build]\ntarget = {type = \"directory\", path = \"target\"} The directory layout of this configure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source and target directory","id":"149","title":"Single source and target directory"},"15":{"body":"By adopting the explicit repeat syntax as a repetition description in bit concatenation, readability improves over complex combinations of {}. SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"Features » repeat of concatenation","id":"15","title":"repeat of concatenation"},"150":{"body":"If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure. $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files The generated prj.f lists all generated files. So you can use it along with the existing SystemVerilog filelists.","breadcrumbs":"Development Environment » Directory Layout » Multi source directory","id":"150","title":"Multi source directory"},"151":{"body":"Veryl doesn't provide the default .gitignore. This is because which files should be ignored is different by each projects. The candidates of .gitignore is below: dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » Directory Layout » About .gitignore","id":"151","title":"About .gitignore"},"152":{"body":"Source code can be formatted by veryl fmt command. Alternatively, language server support formatting through textDocument/formatting request. The available configurations are below. These can be specified in [format] section of Veryl.toml. [format]\nindent_width = 4 Configuration Value Description indent_width integer indent width by space","breadcrumbs":"Development Environment » Formatter » Formatter","id":"152","title":"Formatter"},"153":{"body":"Lint check is executed at veryl check or veryl build. Alternatively, language server checks lint in real time. The available configurations are below. These can be specified in [lint] section of Veryl.toml. [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » Linter » Linter","id":"153","title":"Linter"},"154":{"body":"This section contains configurations of naming conventions. Configuration Value Description case_enum case type [1] case style of enum case_function case type [1] case style of function case_instance case type [1] case style of instance case_interface case type [1] case style of interface case_modport case type [1] case style of modport case_module case type [1] case style of module case_package case type [1] case style of package case_parameter case type [1] case style of parameter case_port_inout case type [1] case style of inout port case_port_input case type [1] case style of input port case_port_modport case type [1] case style of modport port case_port_output case type [1] case style of output port case_reg case type [1] case style of register type variable [2] case_struct case type [1] case style of struct case_wire case type [1] case style of wire type variable [3] prefix_enum string prefix of enum prefix_function string prefix of function prefix_instance string prefix of instance prefix_interface string prefix of interface prefix_modport string prefix of modport prefix_module string prefix of module prefix_package string prefix of package prefix_parameter string prefix of parameter prefix_port_inout string prefix of inout port prefix_port_input string prefix of input port prefix_port_modport string prefix of modport port prefix_port_output string prefix of output port prefix_reg string prefix of register type variable [2] prefix_struct string prefix of struct prefix_wire string prefix of wire type variable [3] re_forbidden_enum regex [4] regex forbidden of enum re_forbidden_function regex [4] regex forbidden of function re_forbidden_instance regex [4] regex forbidden of instance re_forbidden_interface regex [4] regex forbidden of interface re_forbidden_modport regex [4] regex forbidden of modport re_forbidden_module regex [4] regex forbidden of module re_forbidden_package regex [4] regex forbidden of package re_forbidden_parameter regex [4] regex forbidden of parameter re_forbidden_port_inout regex [4] regex forbidden of inout port re_forbidden_port_input regex [4] regex forbidden of input port re_forbidden_port_modport regex [4] regex forbidden of modport port re_forbidden_port_output regex [4] regex forbidden of output port re_forbidden_reg regex [4] regex forbidden of register type variable [2] re_forbidden_struct regex [4] regex forbidden of struct re_forbidden_wire regex [4] regex forbidden of wire type variable [3] re_required_enum regex [4] regex required of enum re_required_function regex [4] regex required of function re_required_instance regex [4] regex required of instance re_required_interface regex [4] regex required of interface re_required_modport regex [4] regex required of modport re_required_module regex [4] regex required of module re_required_package regex [4] regex required of package re_required_parameter regex [4] regex required of parameter re_required_port_inout regex [4] regex required of inout port re_required_port_input regex [4] regex required of input port re_required_port_modport regex [4] regex required of modport port re_required_port_output regex [4] regex required of output port re_required_reg regex [4] regex required of register type variable [2] re_required_struct regex [4] regex required of struct re_required_wire regex [4] regex required of wire type variable [3] The available values are \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase Regular expression string like \".*\". The available syntax is here . Register type means that the variable is assigned in always_ff. It will be mapped to flip-flop in synthesis phase. Wire type means that the variable is assigned in always_comb. It will be mapped to wire in synthesis phase.","breadcrumbs":"Development Environment » Linter » The [lint.naming] section","id":"154","title":"The [lint.naming] section"},"155":{"body":"Test by RTL simulator is executed through veryl test. Supported simulators are below: Verilator Synopsys VCS AMD Vivado Simulator Verilator is the default simulator. If no simulator is specified through Veryl.toml and commandline option, it will be used. The available configurations are below. These can be specified in [test] section of Veryl.toml. [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » Simulator » Simulator","id":"155","title":"Simulator"},"156":{"body":"This section contains configurations of test. Configuration Value Description simulator simulator name [1] default simulator The available values are \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » Simulator » The [test] section","id":"156","title":"The [test] section"},"157":{"body":"This section contains configurations of test by Verilator. Configuration Value Description compile_args [string] additional arguments to verilator command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.verilator] section","id":"157","title":"The [test.verilator] section"},"158":{"body":"This section contains configurations of test by VCS. Configuration Value Description compile_args [string] additional arguments to vcs command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.vcs] section","id":"158","title":"The [test.vcs] section"},"159":{"body":"This section contains configurations of test by Vivado. Configuration Value Description compile_args [string] additional arguments to xvlog command elaborate_args [string] additional arguments to xelab command simulate_args [string] additional arguments to xsim command","breadcrumbs":"Development Environment » Simulator » The [test.vivado] section","id":"159","title":"The [test.vivado] section"},"16":{"body":"By adopting if and case expressions instead of the ternary operator, readability improves, especially when comparing a large number of items. SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"Features » if / case expression","id":"16","title":"if / case expression"},"160":{"body":"veryl-ls is a language server binary. If you want to use it, editor configuration or plugin to use it is required. The available configurations are below. These can be specified by each editor's config. Configuration Value Default Description useOperatorCompletion boolean false use operator (e.g. '>:', '>>') completion","breadcrumbs":"Development Environment » Language Server » Language Server","id":"160","title":"Language Server"},"161":{"body":"Some tools supporting SystemVerilog don't support some features. Code generation can be customized by configuration of Veryl.toml to support these tools.","breadcrumbs":"Development Environment » Compatibility » Compatibility","id":"161","title":"Compatibility"},"162":{"body":"","breadcrumbs":"Development Environment » Compatibility » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivado don't support parameter which is typed as string. parameter string a = \"A\"; So you can use implicit_parameter_types like below: [build]\nimplicit_parameter_types = [\"string\"] By the configuration, the generated code becomes like below: parameter a = \"A\";","breadcrumbs":"Development Environment » Compatibility » String parameter","id":"163","title":"String parameter"},"164":{"body":"Documant of project can be generated by veryl doc command. All public modules, interfaces and packages will be listed in it. (See Visibility ) If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used. Waveform description based on WaveDrom is supported too. In a wavedrom code block, the syntax of WaveDrom can be written. Please refer Tutorial for the detailed syntax. /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} The available configurations are below. These can be specified in [doc] section of Veryl.toml. [doc]\npath = \"document\" Configuration Value Default Description path string \"doc\" path to output directory","breadcrumbs":"Development Environment » Documentation » Documentation","id":"164","title":"Documentation"},"165":{"body":"The official GitHub action to download a prebuilt binary of Veryl is provided. https://github.com/marketplace/actions/setup-veryl The examples of GitHub action script are below: Format and build check name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check Publish document through GitHub Pages name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Test by Verilator For this purpose, we provide GitHub action veryl-lang/setup-verilator . name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"Appendix » Appendix","id":"166","title":"Appendix"},"167":{"body":"Veryl's parser is based on parser generator parol . The following syntex definition of parol is formal syntax. %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » Formal Syntax » Formal Syntax","id":"167","title":"Formal Syntax"},"168":{"body":"","breadcrumbs":"Appendix » Semantic Error » Semantic Error","id":"168","title":"Semantic Error"},"169":{"body":"","breadcrumbs":"Appendix » Semantic Error » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"With notation representing closed intervals ..= and half-open intervals .., it is possible to uniformly describe ranges using for, inside, and outside (which denotes the inverse of inside). SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"Features » Range-based for / inside / outside","id":"17","title":"Range-based for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"The msb notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer. SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"Features » msb notation","id":"18","title":"msb notation"},"180":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » Semantic Error » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"There is a dedicated let statement available for binding values simultaneously with variable declaration, which can be used in various contexts that were not supported in SystemVerilog. SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"Features » let statement","id":"19","title":"let statement"},"190":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » Semantic Error » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.","breadcrumbs":"Introduction » Interoperability","id":"2","title":"Interoperability"},"20":{"body":"You can define named blocks to limit the scope of variables. SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"Features » Named block","id":"20","title":"Named block"},"21":{"body":"Modules without the pub keyword cannot be referenced from outside the project and are not included in automatic documentation generation. This allows distinguishing between what should be exposed externally from the project and internal implementations. SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} Some browsers by default pause the playback of GIF animations. Please check your browser settings.","breadcrumbs":"Features » Visibility control","id":"21","title":"Visibility control"},"22":{"body":"Let's start to use Veryl. In this section, we will install Veryl, create an example project, and build it.","breadcrumbs":"Getting Started » Getting Started","id":"22","title":"Getting Started"},"23":{"body":"You can install Veryl by downloading binary. If you have Rust development environment, you can use cargo instead of it.","breadcrumbs":"Getting Started » Installation » Installation","id":"23","title":"Installation"},"24":{"body":"Veryl uses git command internally. Please confirm git can be launched.","breadcrumbs":"Getting Started » Installation » Requirement","id":"24","title":"Requirement"},"25":{"body":"","breadcrumbs":"Getting Started » Installation » Choose a way of installation","id":"25","title":"Choose a way of installation"},"26":{"body":"Download from release page , and extract to the directory in PATH.","breadcrumbs":"Getting Started » Installation » Download binary","id":"26","title":"Download binary"},"27":{"body":"You can install with cargo . cargo install veryl veryl-ls","breadcrumbs":"Getting Started » Installation » Cargo","id":"27","title":"Cargo"},"28":{"body":"Visual Studio Code and Vim / Neovim are supported officially.","breadcrumbs":"Getting Started » Installation » Editor integration","id":"28","title":"Editor integration"},"29":{"body":"For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching \"Veryl\" in extension panel or the following URL. Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » Installation » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity. With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.","breadcrumbs":"Introduction » Productivity","id":"3","title":"Productivity"},"30":{"body":"For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL. Vim / Neovim plugin","breadcrumbs":"Getting Started » Installation » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.","breadcrumbs":"Getting Started » Installation » Other Editors","id":"31","title":"Other Editors"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"At first, a new Veryl project can be created by: veryl new hello After the command, the following directory and file will be created. $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml is the project configuration. [project]\nname = \"hello\"\nversion = \"0.1.0\" The description of all configuration is here .","breadcrumbs":"Getting Started » Hello, World! » Create Project","id":"33","title":"Create Project"},"34":{"body":"You can add source codes at an arbitrary position in the project directory. This is because Veryl project can be independent or integrated to other SystemVerilog project. The extension of Veryl's source codes is .veryl. For example, put the following code to src/hello.veryl. module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files Note: Some source codes in the book have play button \"▶\" which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of module ModuleA code.","breadcrumbs":"Getting Started » Hello, World! » Write Code","id":"34","title":"Write Code"},"35":{"body":"You can generate a SystemVerilog code by veryl build. $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files By default, SystemVerilog code will be generated at the same directory as Veryl code. The generated code is src/hello.sv. module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule Additionally, hello.f which is the filelist of generated codes will be generated. You can use it for SystemVerilog compiler. The following example is to use Verilator . $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » Build Code","id":"35","title":"Build Code"},"36":{"body":"Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code. This is a small example. In the following example, comments show the difference with SystemVerilog syntax. module ModuleA ( // name is first, and type is followed after `:` // bit width is represented by `<>` i_data: input logic<10>, o_data: output logic<10>, // use `{}` instead of `begin`/`end`\n) { assign o_data = i_data;\n} Additionally, the codeblocks in this chapter can be edit. Let's try to edit and play each code. A source code of Veryl has some module, interface and package like SystemVerilog. In this chapter, we'll show the some example source codes of them.","breadcrumbs":"Code Examples » Code Examples","id":"36","title":"Code Examples"},"37":{"body":"// module definition\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // trailing comma is allowed\n) ( i_clk : input clock , // `clock` is a special type for clock i_rst : input reset , // `reset` is a special type for reset i_sel : input logic , i_data: input logic [2], // `[]` means unpacked array in SystemVerilog o_data: output logic , // `<>` means packed array in SystemVerilog\n) { // local parameter declaration // `param` is not allowed in module local ParamC: u32 = 10; // variable declaration var r_data0: logic; var r_data1: logic; var r_data2: logic; // value binding let _w_data2: logic = i_data; // always_ff statement with reset // `always_ff` can take a mandatory clock and a optional reset // `if_reset` means `if (i_rst)`. This conceals reset porality // `()` of `if` is not required // `=` in `always_ff` is non-blocking assignment always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // always_ff statement without reset always_ff (i_clk) { r_data1 = r_data0; } // clock and reset can be omitted // if there is a single clock and reset in the module always_ff { r_data2 = r_data1; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » Module » Module","id":"37","title":"Module"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // instance declaration // `inst` keyword starts instance declaration // port connnection can be specified by `()` // each port connection is `[port_name]:[variable]` // `[port_name]` means `[port_name]:[port_name]` inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // instance declaration with parameter override // notation of parameter connection is the same as port inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » Instantiation » Instantiation","id":"38","title":"Instantiation"},"39":{"body":"// interface definition\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport definition modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // port declaration by modport intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // interface instantiation inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » Interface » Interface","id":"39","title":"Interface"},"4":{"body":"In this chapter, we introduce the features of Veryl along with clear examples. Real-time diagnostics Auto formatting Integrated test Dependency management Generics Trailing comma Abstraction of clock and reset Documentation comment Compound assignment operator in always_ff Individual namespace of enum variant repeat of concatenation if / case expression Range-based for / inside / outside msb notation let statement Named block Visibility control","breadcrumbs":"Features » Features","id":"4","title":"Features"},"40":{"body":"// package definition\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » Package » Package","id":"40","title":"Package"},"41":{"body":"In this chapter, we'll discuss the lauguage definition of Veryl.","breadcrumbs":"Language Reference » Language Reference","id":"41","title":"Language Reference"},"42":{"body":"Veryl's source code is composed by some module, interface and package. module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} The name of module, interface and package in the transpiled code will added project name as prefix. In the sample code, project_ will be added. It is to avoid name conflict between projects.","breadcrumbs":"Language Reference » Source Code Structure » Source Code Structure","id":"42","title":"Source Code Structure"},"43":{"body":"This chapter shows the lexical structure of Veryl. At the first, we'll discuss about the general parts in it.","breadcrumbs":"Language Reference » Lexical Structure » Lexical Structure","id":"43","title":"Lexical Structure"},"44":{"body":"The encoding of Veryl source code should be UTF-8.","breadcrumbs":"Language Reference » Lexical Structure » Encoding","id":"44","title":"Encoding"},"45":{"body":"(white space), \\t and \\n are treated as white space. All of them are skipped by Veryl's parser.","breadcrumbs":"Language Reference » Lexical Structure » White Space","id":"45","title":"White Space"},"46":{"body":"Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code. // single line comment /*\nmulti line comment\n*/","breadcrumbs":"Language Reference » Lexical Structure » Comment","id":"46","title":"Comment"},"47":{"body":"Signle line comment starts with /// is treated as documentation comment. Documentation comment is used for document generation. /// documentation comment","breadcrumbs":"Language Reference » Lexical Structure » Documentation comment","id":"47","title":"Documentation comment"},"48":{"body":"Identifier is composed with ASCII alphabet and number and _. Leading number is not allowed. The following regular expression shows the definition. [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » Lexical Structure » Identifier","id":"48","title":"Identifier"},"49":{"body":"String is surrounded by \". Escape by \\ can be used like \\\", \\n and so on. \"Hello, World!\"","breadcrumbs":"Language Reference » Lexical Structure » String","id":"49","title":"String"},"5":{"body":"Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor. In the following example, adding the _ prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings. diagnostics If the video does not play [1]","breadcrumbs":"Features » Real-time diagnostics","id":"5","title":"Real-time diagnostics"},"50":{"body":"Almost all operators are the same as SystemVerilog. Please be careful the some differences. <: less than operator which is the same as < in SystemVerilog. >: greater than operator which is the same as > in SystemVerilog. // unary arithmetic\na = +1;\na = -1; // unary logical\na = !1;\na = ~1; // unary reduce\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // binary arithmetic\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // binary shift\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // binary compare\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // binary bitwise\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // binary logical\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » Operator » Operator","id":"50","title":"Operator"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » Number » Number","id":"51","title":"Number"},"52":{"body":"// integer\n0123456789\n01_23_45_67_89 // binary\n32'b01xzXZ\n32'b01_xz_XZ // octal\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // decimal\n32'd0123456789\n32'd01_23_45_67_89 // hex\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » Number » Integer","id":"52","title":"Integer"},"53":{"body":"// all 0\n'0 // all 1\n'1 // all x\n'x\n'X // all z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » Number » Set all bits","id":"53","title":"Set all bits"},"54":{"body":"The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code. module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Widthless integer","id":"54","title":"Widthless integer"},"55":{"body":"The bit width specification can be added to \"set all bits\". module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Set sized bits","id":"55","title":"Set sized bits"},"56":{"body":"// floating point\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // floating with exponent\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » Number » Floating point","id":"56","title":"Floating point"},"57":{"body":"'{} represents array literal. In the literal, expression, repeat keyword and default keyword can be placed. module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » Array Literal » Array Literal","id":"57","title":"Array Literal"},"58":{"body":"In this chapter, we'll discuss about data type.","breadcrumbs":"Language Reference » Data Type » Data Type","id":"58","title":"Data Type"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » Builtin Type » Builtin Type","id":"59","title":"Builtin Type"},"6":{"body":"In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible. format If the video does not play [1]","breadcrumbs":"Features » Auto formatting","id":"6","title":"Auto formatting"},"60":{"body":"logic is 4-state (0, 1, x, z) data type. The variable width can be specified by <> after logic. Multi-dimentional can be specified by . module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 4-state data type which has variable width","id":"60","title":"4-state data type which has variable width"},"61":{"body":"bit is 2-state (0, 1) data type. The variable width can be specified by <> after bit. Multi-dimentional can be specified by . module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 2-state data type which has variable width","id":"61","title":"2-state data type which has variable width"},"62":{"body":"There are some integer types: u32: 32bit unsigned integer u64: 64bit unsigned integer i32: 32bit signed integer i64: 64bit signed integer module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Integer type","id":"62","title":"Integer type"},"63":{"body":"There are some floating point types: f32: 32bit floating point f64: 64bit floating point Both of them are represented as described by IEEE Std 754. module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Floating point type","id":"63","title":"Floating point type"},"64":{"body":"string is string type. module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » String type","id":"64","title":"String type"},"65":{"body":"type is a type which represents type kind. Variable of type can be defined as param or local only. module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Type type","id":"65","title":"Type type"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » User Defined Type » User Defined Type","id":"66","title":"User Defined Type"},"67":{"body":"struct is composite data type. It can contain some fields, and these fields can be access through . operator. module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Struct","id":"67","title":"Struct"},"68":{"body":"enum is enumerable type. It has some named variant, and the value of enum can be set to the one of them. The variant name can be specified by [enum name]::[variant name]. Each variant has the corresponding integral value. The value can be specified by =. Otherwise, it is assigned automatically. module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Enum","id":"68","title":"Enum"},"69":{"body":"A Veryl union is a packed, untagged sum type and is transpiled to SystemVerilog's packed union. Each union variant should have the same packed width as each other union variant. module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Union","id":"69","title":"Union"},"7":{"body":"Test code written by SystemVerilog can be embeded in Veryl code, it can be executed through veryl test command. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"Features » Integrated test","id":"7","title":"Integrated test"},"70":{"body":"The type keyword can be used to define a type alias to scalar or array types. module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Typedef","id":"70","title":"Typedef"},"71":{"body":"Array can be defined by appending [] to any data type. The length of array can be specified by the value in []. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} Multi-dimentional array can be defined by [X, Y, Z,,,]. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » Array » Array","id":"71","title":"Array"},"72":{"body":"clock is a special types to represent clock wiring. There are 3 variants to specify clock polarity. clock: clock type of which polarity is specified by the build option clock_posedge: clock type of which polarity is positive clock_negedge: clock type of which polarity is negative reset is a special types to represent reset wiring. There are 5 variants to specify reset polarity and synchronicity. reset: reset type of which polarity and synchronicity are specified by the build option reset_async_high: async/high active reset type reset_async_low: async/low active reset type reset_sync_high: sync/active high reset type reset_sync_low: sync/active low reset type If there is no special requirement, clock and reset are recommended for code reusability. module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » Clock / Reset » Clock / Reset","id":"72","title":"Clock / Reset"},"73":{"body":"In this chapter, we'll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.","breadcrumbs":"Language Reference » Expression » Expression","id":"73","title":"Expression"},"74":{"body":"In expression, operator precedence is almost the same as SystemVerilog. Operator Associativity Precedence () [] :: . Left Highest + - ! ~ & ~& | ~| ^ ~^ ^~ (unary) Left ** Left * / % Left + - (binary) Left << >> <<< >>> Left <: <= >: >= Left == != === !== ==? !=? Left & (binary) Left ^ ~^ ^~ (binary) Left | (binary) Left && Left || Left = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= None {} None Lowest","breadcrumbs":"Language Reference » Expression » Operator Precedence » Operator Precedence","id":"74","title":"Operator Precedence"},"75":{"body":"Function can be call by function_name(argument). System function of SystemVerilog like $clog2 can be used too. module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » Function Call » Function Call","id":"75","title":"Function Call"},"76":{"body":"{} represents bit concatenation. In {}, repeat keyword can be used to repeat specified operand. module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » Concatenation » Concatenation","id":"76","title":"Concatenation"},"77":{"body":"Conditional expression using if can be used. The section which represents condition is placed after if keyword, and () is not required surrounding it. else is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » If » If","id":"77","title":"If"},"78":{"body":"Another conditional expression is case. case containts some arms like expression: expression. If the expression after case keyword matches the left expression of an arm, the right expression of the arm will be returned. default is a special arm which will be returned when all other arms are failed. default is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » Case » Case","id":"78","title":"Case"},"79":{"body":"[] is bit select operator. If an expression is specified to [], single bit is selected. Bit range selection can be specified by [expression:expression]. module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » Bit Select » Bit Select","id":"79","title":"Bit Select"},"8":{"body":"Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"Features » Dependency management","id":"8","title":"Dependency management"},"80":{"body":"Range can be specified through range operator. There are two range operator: ..: half-open interval ..=: closed interval Range can be used at some description like for statement. module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » Range » Range","id":"80","title":"Range"},"81":{"body":"msb and lsb can be used in bit selection by []. msb means most significant bit of the operand. lsb means least significant bit of the operand, it is the same as 0. module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » Msb / Lsb » Msb / Lsb","id":"81","title":"Msb / Lsb"},"82":{"body":"inside check the specified expression is inside conditions which are specified in {}. Condition can be single expression or range . If the expression matches any condition, inside will return 1, otherwise 0. outside is vice versa. module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » Inside / Outside » Inside / Outside","id":"82","title":"Inside / Outside"},"83":{"body":"In this chapter, we'll discuss about statement. Statement can be used in some declaration like always_ff, always_comb.","breadcrumbs":"Language Reference » Statement » Statement","id":"83","title":"Statement"},"84":{"body":"Assignment statement is variable = expression;. Unlike SystemVerilog, assignment operator is = in both always_comb and always_ff. There are other assignment operators: +=: addition assignment -=: subtraction assignment *=: multiplication assignment /=: division assignment %=: remainder assignment &=: bitwise AND assignment |=: bitwise OR assignment ^=: bitwise XOR assignment <<=: logical left shift assignment >>=: logical right shift assignment <<<=: arithmetic left shift assignment >>>=: arithmetic right shift assignment module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » Assignment » Assignment","id":"84","title":"Assignment"},"85":{"body":"Function call can be used as statement. In this case, the return value of function will be ignored. module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » Function Call » Function Call","id":"85","title":"Function Call"},"86":{"body":"if can be used as statement. The difference from if expression is that statements are placed in {}. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » If » If","id":"86","title":"If"},"87":{"body":"case can be used as statement. The right-hand of arm is statement. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » Case » Case","id":"87","title":"Case"},"88":{"body":"for statement represent repetition. Loop variable is placed before in keyword, and range is placed after it. break can be used to break the loop. module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » For » For","id":"88","title":"For"},"89":{"body":"return statement represents return from function. The expression after return keyword is the return value of the function. module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » Return » Return","id":"89","title":"Return"},"9":{"body":"Code generation through generics achieves more reusable code than traditional parameter override. Prarmeters in function like the follwoign example, but also module names of instantiation, type names of struct definition, and so on can be parameterized. SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"Features » Generics","id":"9","title":"Generics"},"90":{"body":"let statement represents a name bound to a value. It can be used in always_ff, always_comb and function declaration. let statement can be placed anywhere in block. module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » Let » Let","id":"90","title":"Let"},"91":{"body":"In this chapter, we'll discuss about declaration.","breadcrumbs":"Language Reference » Declaration » Declaration","id":"91","title":"Declaration"},"92":{"body":"Variable declaration is started by var keyword. After var, variable name, :, and the type of the variable are followed. If there are unused variables, warning will be occured. Variable name starting with _ means unused variable, and suppresses the warning. If you want to bind a value to a name at the declaration, let can be used instead of var. module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » Variable » Variable","id":"92","title":"Variable"},"93":{"body":"Parameter can be declarated as the same as variable. param keyword can be used at module header, it can be overridden at instantiation. local keyword can be used in module, it can't be overridden. module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » Parameter » Parameter","id":"93","title":"Parameter"},"94":{"body":"If a variable is assigned in always_ff declaration, it becomes register variable. Register variable will be mapped to flip-flop in synthesis phase. always_ff has mandatory clock variable, optional reset variable, and {} block. Clock and reset are placed in (). The specified clock and reset should have clock / reset type and the witdh of them should be 1bit. if_reset is a special keyword which can be used in always_ff. It means reset condition of the register variable. If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml. If there is a single clock and reset in the module, clock and reset specification can be omitted. module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; var c: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } } always_ff { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » Register » Register","id":"94","title":"Register"},"95":{"body":"If a variable is assigned in always_comb declaration, it means combinational circuit. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » Combinational » Combinational","id":"95","title":"Combinational"},"96":{"body":"assign declaration can assign expression to variable. module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » Assign » Assign","id":"96","title":"Assign"},"97":{"body":"Function can be declared by function keyword. Arguments are placed in () and return type is placed after ->. If function doesn't have a return value, -> can be omitted. module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » Function » Function","id":"97","title":"Function"},"98":{"body":"Statements in initial are executed at the beginning of simulation, final is the end. Both will be ignored logical synthesis, and can be used as debug or assertion. module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » Initial / Final » Initial / Final","id":"98","title":"Initial / Final"},"99":{"body":"Attribute can annotate some declarations like variable declaration.","breadcrumbs":"Language Reference » Declaration » Attribute » Attribute","id":"99","title":"Attribute"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"71":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.23606797749979},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.0},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.0},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.0},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.0}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.0},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.7320508075688772},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.449489742783178},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"85":{"tf":1.0},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":14,"docs":{"11":{"tf":2.449489742783178},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.1622776601683795},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.6457513110645907}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":34,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.7320508075688772},"34":{"tf":2.8284271247461903},"35":{"tf":2.449489742783178},"36":{"tf":2.23606797749979},"42":{"tf":2.0},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":1.7320508075688772},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.0},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.449489742783178},"47":{"tf":2.23606797749979}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":4,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.4142135623730951},"4":{"tf":1.0},"76":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":26,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.0},"146":{"tf":1.4142135623730951},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":6,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"71":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":25,"docs":{"101":{"tf":1.4142135623730951},"104":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"99":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":9,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":1.7320508075688772},"141":{"tf":3.1622776601683795},"142":{"tf":2.23606797749979},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.4142135623730951},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.4142135623730951},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":3,"docs":{"119":{"tf":1.7320508075688772},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":10,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.0},"148":{"tf":2.6457513110645907},"149":{"tf":2.23606797749979},"150":{"tf":1.4142135623730951},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.0},"31":{"tf":1.4142135623730951},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.23606797749979},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.23606797749979},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"119":{"tf":1.4142135623730951},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":13,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.23606797749979},"4":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":18,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":1.7320508075688772},"74":{"tf":1.0},"77":{"tf":1.4142135623730951},"78":{"tf":2.6457513110645907},"79":{"tf":1.0},"82":{"tf":1.7320508075688772},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":6,"docs":{"123":{"tf":1.0},"161":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.4142135623730951},"6":{"tf":1.0},"8":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"129":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.0}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.23606797749979}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.4142135623730951},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":1.7320508075688772},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.449489742783178}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":1.7320508075688772},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":1.7320508075688772}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.4142135623730951},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.1622776601683795},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":1.7320508075688772},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":6,"docs":{"22":{"tf":1.0},"23":{"tf":1.4142135623730951},"25":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.4142135623730951},"54":{"tf":1.4142135623730951},"62":{"tf":2.449489742783178}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":12,"docs":{"110":{"tf":1.0},"113":{"tf":1.4142135623730951},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.0}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.449489742783178},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.0},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.4142135623730951}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":11,"docs":{"0":{"tf":1.4142135623730951},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"147":{"tf":2.0},"149":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"137":{"tf":1.0},"153":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":1.7320508075688772}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.4142135623730951}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.0},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":1.4142135623730951},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":1.7320508075688772},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.4142135623730951},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.4142135623730951},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.4142135623730951},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":5,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":8,"docs":{"101":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"37":{"tf":1.0},"54":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":1.7320508075688772},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.0},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.7320508075688772},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":1.7320508075688772},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.23606797749979},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.4142135623730951},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":1.7320508075688772},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.0},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.4142135623730951},"63":{"tf":2.0}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":25,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.1622776601683795},"121":{"tf":1.4142135623730951},"122":{"tf":1.0},"123":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"134":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":1.4142135623730951},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.23606797749979},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":1.7320508075688772},"144":{"tf":3.605551275463989},"145":{"tf":2.23606797749979},"146":{"tf":2.0},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.4142135623730951},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.23606797749979},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"164":{"tf":1.0},"41":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.0}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.0},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.0},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.8284271247461903},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":3.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"94":{"tf":3.1622776601683795}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.0},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":4,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.0},"55":{"tf":1.4142135623730951},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":8,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":2.6457513110645907},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":8,"docs":{"11":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"37":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0},"94":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.0},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":4,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"94":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":8,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":1.4142135623730951},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":1.7320508075688772},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.4142135623730951},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.4142135623730951},"64":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.4142135623730951},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":4,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.0},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.23606797749979},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":2.8284271247461903},"120":{"tf":1.4142135623730951},"138":{"tf":1.7320508075688772},"155":{"tf":2.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":1.7320508075688772}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.0}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":1.4142135623730951},"59":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951},"64":{"tf":1.4142135623730951},"65":{"tf":3.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.449489742783178},"71":{"tf":1.0},"72":{"tf":3.1622776601683795},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.449489742783178}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.0}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"66":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":2.6457513110645907},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.23606797749979},"141":{"tf":2.23606797749979},"143":{"tf":3.872983346207417},"144":{"tf":1.7320508075688772},"145":{"tf":2.23606797749979},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":1.7320508075688772},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.0}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.7320508075688772}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.7320508075688772},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.7320508075688772},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":28,"docs":{"166":{"tf":1.7320508075688772},"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":2.0},"70":{"tf":1.0},"71":{"tf":2.449489742783178}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.449489742783178},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.242640687119285},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.449489742783178},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.449489742783178},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":2.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.23606797749979}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.4142135623730951}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.4142135623730951},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":2.0},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.449489742783178},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":2.23606797749979},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":2.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":7,"docs":{"59":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.6457513110645907},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":2.0}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"85":{"tf":1.0},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":14,"docs":{"11":{"tf":2.6457513110645907},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.6457513110645907}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":38,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":2.0},"34":{"tf":3.0},"35":{"tf":2.6457513110645907},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.449489742783178},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":2.0}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":2.0},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.23606797749979},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.6457513110645907},"47":{"tf":2.449489742783178}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":6,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.7320508075688772},"162":{"tf":1.0},"163":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.7320508075688772},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.7320508075688772},"4":{"tf":1.0},"76":{"tf":2.0}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":40,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.7320508075688772},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.4142135623730951},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.4142135623730951},"146":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":16,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":2.0},"59":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.4142135623730951},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":29,"docs":{"100":{"tf":1.0},"101":{"tf":1.7320508075688772},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":2.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":2.0},"112":{"tf":2.0},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":2.0},"92":{"tf":1.7320508075688772},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951},"98":{"tf":1.0},"99":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":12,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":2.0},"141":{"tf":3.4641016151377544},"142":{"tf":2.6457513110645907},"143":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":2.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.7320508075688772},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.7320508075688772},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":49,"docs":{"119":{"tf":2.23606797749979},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":11,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.449489742783178},"148":{"tf":3.0},"149":{"tf":2.6457513110645907},"150":{"tf":2.0},"151":{"tf":1.0},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.23606797749979},"164":{"tf":2.449489742783178},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.449489742783178}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.4142135623730951},"3":{"tf":1.0},"31":{"tf":1.7320508075688772},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.449489742783178},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.449489742783178},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.449489742783178},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":48,"docs":{"119":{"tf":2.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":27,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":17,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"40":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":22,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.7320508075688772},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":2.23606797749979},"74":{"tf":1.4142135623730951},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.7320508075688772},"78":{"tf":2.8284271247461903},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":2.0},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":21,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"12":{"tf":1.0},"123":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":2.0},"5":{"tf":1.0},"6":{"tf":1.4142135623730951},"7":{"tf":1.0},"8":{"tf":1.4142135623730951},"9":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.4142135623730951},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"129":{"tf":1.7320508075688772},"130":{"tf":1.7320508075688772},"131":{"tf":1.7320508075688772},"132":{"tf":1.7320508075688772},"133":{"tf":1.7320508075688772},"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":2.0},"63":{"tf":2.23606797749979}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.4142135623730951},"112":{"tf":1.4142135623730951}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.449489742783178}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.7320508075688772},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.449489742783178},"85":{"tf":2.23606797749979},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.8284271247461903}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":2.23606797749979},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.3166247903554},"115":{"tf":1.7320508075688772},"116":{"tf":1.7320508075688772},"117":{"tf":1.7320508075688772},"118":{"tf":1.7320508075688772},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":2.0}}}}},"t":{"df":14,"docs":{"22":{"tf":1.7320508075688772},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.7320508075688772},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.4641016151377544},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":2.0},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":10,"docs":{"22":{"tf":1.0},"23":{"tf":2.0},"24":{"tf":1.0},"25":{"tf":1.7320508075688772},"26":{"tf":1.0},"27":{"tf":1.7320508075688772},"28":{"tf":1.0},"29":{"tf":1.4142135623730951},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.7320508075688772},"54":{"tf":1.7320508075688772},"62":{"tf":2.6457513110645907}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":14,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":2.0},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.8284271247461903},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.449489742783178},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.7320508075688772},"2":{"tf":1.7320508075688772}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}},"t":{"df":4,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"2":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":85,"docs":{"0":{"tf":1.7320508075688772},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":2.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":5,"docs":{"147":{"tf":2.449489742783178},"148":{"tf":1.0},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"151":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":15,"docs":{"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.449489742783178}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.7320508075688772},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"137":{"tf":1.0},"153":{"tf":1.7320508075688772},"154":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":2.23606797749979}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.449489742783178},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.4142135623730951}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":2.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":2.0},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.7320508075688772},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.7320508075688772},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":10,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.7320508075688772},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":8,"docs":{"101":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"37":{"tf":1.0},"54":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":2.0},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.449489742783178},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":2.23606797749979},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":2.0},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.6457513110645907},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":2.23606797749979},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.23606797749979},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.23606797749979}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":39,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.4641016151377544},"121":{"tf":2.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.7320508075688772},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.4142135623730951},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":2.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.449489742783178},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":2.0},"144":{"tf":3.872983346207417},"145":{"tf":2.449489742783178},"146":{"tf":2.23606797749979},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.6457513110645907},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":79,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"164":{"tf":1.0},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.449489742783178}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.23606797749979},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.23606797749979},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.4142135623730951},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":3.0},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":3.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.7416573867739413},"94":{"tf":3.1622776601683795}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.8284271247461903},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.7320508075688772},"128":{"tf":1.7320508075688772},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.7320508075688772},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.7320508075688772},"155":{"tf":1.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.7320508075688772},"158":{"tf":1.7320508075688772},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.449489742783178},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":29,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.4142135623730951},"55":{"tf":1.7320508075688772},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":9,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":3.0},"156":{"tf":2.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":8,"docs":{"11":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"37":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0},"94":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.4142135623730951}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.23606797749979},"149":{"tf":1.4142135623730951},"150":{"tf":1.4142135623730951},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":2.0},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":2.0}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":4,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"94":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":21,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":2.23606797749979},"84":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"88":{"tf":1.4142135623730951},"89":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.23606797749979},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.7320508075688772},"64":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":2.0},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":18,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.7320508075688772},"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.23606797749979},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":2.0},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.23606797749979},"148":{"tf":1.0},"149":{"tf":2.449489742783178},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":3.1622776601683795},"120":{"tf":1.4142135623730951},"138":{"tf":2.0},"155":{"tf":2.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":2.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.4142135623730951}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":2.0},"59":{"tf":2.0},"60":{"tf":2.23606797749979},"61":{"tf":2.23606797749979},"62":{"tf":2.23606797749979},"63":{"tf":2.23606797749979},"64":{"tf":2.23606797749979},"65":{"tf":3.605551275463989},"66":{"tf":2.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"69":{"tf":1.7320508075688772},"70":{"tf":2.8284271247461903},"71":{"tf":1.4142135623730951},"72":{"tf":3.3166247903554},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.6457513110645907}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.4142135623730951}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":5,"docs":{"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":3.0},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.7320508075688772},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.449489742783178},"141":{"tf":2.23606797749979},"143":{"tf":4.0},"144":{"tf":1.7320508075688772},"145":{"tf":2.449489742783178},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":2.0},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"11":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"57":{"tf":1.0},"71":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"13":{"tf":1.0},"84":{"tf":1.0},"96":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"100":{"tf":1.0},"99":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}},"o":{"df":1,"docs":{"6":{"tf":1.0}}}}}},"b":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":1,"docs":{"17":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"26":{"tf":1.0}}}}},"df":0,"docs":{}},"t":{"df":3,"docs":{"53":{"tf":1.0},"55":{"tf":1.0},"79":{"tf":1.0}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"103":{"tf":1.0},"20":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":2,"docs":{"128":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"145":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":2,"docs":{"75":{"tf":1.0},"85":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"25":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":5,"docs":{"29":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"95":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"10":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"161":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"13":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"15":{"tf":1.0},"76":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"146":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":3,"docs":{"58":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"91":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"66":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":4,"docs":{"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":2,"docs":{"0":{"tf":1.0},"125":{"tf":1.0}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":4,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"47":{"tf":1.0}}}}}}}},"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":1,"docs":{"26":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"28":{"tf":1.0},"31":{"tf":1.0}}}}}}},"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"14":{"tf":1.0},"68":{"tf":1.0}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"168":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"16":{"tf":1.0},"73":{"tf":1.0}}}}}}}}},"f":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":13,"docs":{"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"110":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}},"t":{"df":2,"docs":{"136":{"tf":1.0},"6":{"tf":1.0}},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"115":{"tf":1.0},"75":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":7,"docs":{"101":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"9":{"tf":1.0}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"23":{"tf":1.0},"25":{"tf":1.0}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":2,"docs":{"102":{"tf":1.0},"38":{"tf":1.0}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":3,"docs":{"52":{"tf":1.0},"54":{"tf":1.0},"62":{"tf":1.0}},"r":{"df":4,"docs":{"110":{"tf":1.0},"113":{"tf":1.0},"28":{"tf":1.0},"7":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.0}}}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":4,"docs":{"0":{"tf":1.0},"110":{"tf":1.0},"160":{"tf":1.0},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"153":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"57":{"tf":1.0}}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"8":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":2,"docs":{"105":{"tf":1.0},"37":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":3,"docs":{"103":{"tf":1.0},"122":{"tf":1.0},"20":{"tf":1.0}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"51":{"tf":1.0}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"13":{"tf":1.0},"50":{"tf":1.0},"74":{"tf":1.0}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"107":{"tf":1.0},"117":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"163":{"tf":1.0},"93":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"144":{"tf":1.0},"33":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":2,"docs":{"139":{"tf":1.0},"144":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"41":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":2,"docs":{"143":{"tf":1.0},"24":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":12,"docs":{"121":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"79":{"tf":1.0}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"168":{"tf":1.0}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"160":{"tf":1.0}}}}}},"t":{"df":2,"docs":{"53":{"tf":1.0},"55":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"155":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":2,"docs":{"148":{"tf":1.0},"149":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"19":{"tf":1.0},"83":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":3,"docs":{"163":{"tf":1.0},"49":{"tf":1.0},"64":{"tf":1.0}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"118":{"tf":1.0},"67":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"42":{"tf":1.0},"43":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"x":{"df":2,"docs":{"1":{"tf":1.0},"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"132":{"tf":1.0},"149":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":4,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"156":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"5":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":9,"docs":{"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.4142135623730951},"66":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"69":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"66":{"tf":1.0}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"92":{"tf":1.0}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"109":{"tf":1.0},"21":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"25":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}} \ No newline at end of file diff --git a/nightly/playground/pkg/veryl_wasm_bg.wasm b/nightly/playground/pkg/veryl_wasm_bg.wasm index 6ad912a7..8a0424af 100644 Binary files a/nightly/playground/pkg/veryl_wasm_bg.wasm and b/nightly/playground/pkg/veryl_wasm_bg.wasm differ

SystemVerilog