From 9ec31078976f9d27534f39fa2f072944f0b0a8a6 Mon Sep 17 00:00:00 2001 From: dalance Date: Mon, 13 May 2024 05:21:36 +0000 Subject: [PATCH] deploy: db5f34c318dcb3193075de5db4dd199bc1a21f69 --- nightly/book/02_features.html | 5 ++-- nightly/book/04_code_examples/01_module.html | 7 ++++++ .../06_declaration/03_register.html | 10 ++++++++ nightly/book/ja/02_features.html | 4 ++-- .../book/ja/04_code_examples/01_module.html | 7 ++++++ .../06_declaration/03_register.html | 10 ++++++++ nightly/book/ja/print.html | 21 +++++++++++++++-- nightly/book/ja/searchindex.js | 2 +- nightly/book/ja/searchindex.json | 2 +- nightly/book/print.html | 22 ++++++++++++++++-- nightly/book/searchindex.js | 2 +- nightly/book/searchindex.json | 2 +- nightly/playground/pkg/veryl_wasm_bg.wasm | Bin 2984461 -> 2984733 bytes 13 files changed, 82 insertions(+), 12 deletions(-) diff --git a/nightly/book/02_features.html b/nightly/book/02_features.html index 963989df..96de752e 100644 --- a/nightly/book/02_features.html +++ b/nightly/book/02_features.html @@ -379,7 +379,8 @@

Module

// variable declaration var r_data0: logic<ParamA>; var r_data1: logic<ParamA>; + var r_data2: logic<ParamA>; // value binding let _w_data2: logic<ParamA> = i_data; @@ -292,6 +293,12 @@

Module

r_data1 = r_data0; } + // clock and reset can be omitted + // if there is a single clock and reset in the module + always_ff { + r_data2 = r_data1; + } + assign o_data = r_data1; } diff --git a/nightly/book/05_language_reference/06_declaration/03_register.html b/nightly/book/05_language_reference/06_declaration/03_register.html index 36973a6d..9d51c4fa 100644 --- a/nightly/book/05_language_reference/06_declaration/03_register.html +++ b/nightly/book/05_language_reference/06_declaration/03_register.html @@ -260,12 +260,14 @@

Register

If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml.

+

If there is a single clock and reset in the module, clock and reset specification can be omitted.

module ModuleA (
     i_clk: input clock,
     i_rst: input reset,
 ) {
     var a: logic<10>;
     var b: logic<10>;
+    var c: logic<10>;
 
     always_ff (i_clk) {
         a = 1;
@@ -278,6 +280,14 @@ 

Register

b = 1; } } + + always_ff { + if_reset { + c = 0; + } else { + c = 1; + } + } }
diff --git a/nightly/book/ja/02_features.html b/nightly/book/ja/02_features.html index 36469bb3..66dfd0bb 100644 --- a/nightly/book/ja/02_features.html +++ b/nightly/book/ja/02_features.html @@ -370,7 +370,7 @@

末尾カンマ

クロックとリセットの抽象化

クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。

-

さらに、明示的な clockreset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。

+

さらに、明示的な clockreset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。モジュール内にクロックとリセットが1つだけの場合、レジスタへの接続を省略することもできます。

@@ -397,7 +397,7 @@

モジュー // 変数宣言 var r_data0: logic<ParamA>; var r_data1: logic<ParamA>; + var r_data2: logic<ParamA>; // 値の束縛 let _w_data2: logic<ParamA> = i_data; @@ -292,6 +293,12 @@

モジュー r_data1 = r_data0; } + // モジュール内にクロックとリセットが1つしかない場合 + // クロックとリセットの指定は省略できます + always_ff { + r_data2 = r_data1; + } + assign o_data = r_data1; } diff --git a/nightly/book/ja/05_language_reference/06_declaration/03_register.html b/nightly/book/ja/05_language_reference/06_declaration/03_register.html index 95386006..a87e97a8 100644 --- a/nightly/book/ja/05_language_reference/06_declaration/03_register.html +++ b/nightly/book/ja/05_language_reference/06_declaration/03_register.html @@ -253,12 +253,14 @@

レジスタレジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。

always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。

if_resetalways_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml[build] セクションで設定できます。

+

モジュール内にクロックとリセットが1つしかない場合、クロックとリセットの指定は省略できます。

module ModuleA (
     i_clk: input clock,
     i_rst: input reset,
 ) {
     var a: logic<10>;
     var b: logic<10>;
+    var c: logic<10>;
 
     always_ff (i_clk) {
         a = 1;
@@ -271,6 +273,14 @@ 

レジスタ

diff --git a/nightly/book/ja/print.html b/nightly/book/ja/print.html index c4dcf6ba..76cebade 100644 --- a/nightly/book/ja/print.html +++ b/nightly/book/ja/print.html @@ -381,7 +381,7 @@

末尾カンマ

SystemVerilog

クロックとリセットの抽象化

クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。

-

さらに、明示的な clockreset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。

+

さらに、明示的な clockreset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。モジュール内にクロックとリセットが1つだけの場合、レジスタへの接続を省略することもできます。

@@ -408,7 +408,7 @@

ビルドす // 変数宣言 var r_data0: logic<ParamA>; var r_data1: logic<ParamA>; + var r_data2: logic<ParamA>; // 値の束縛 let _w_data2: logic<ParamA> = i_data; @@ -820,6 +821,12 @@

ビルドす r_data1 = r_data0; } + // モジュール内にクロックとリセットが1つしかない場合 + // クロックとリセットの指定は省略できます + always_ff { + r_data2 = r_data1; + } + assign o_data = r_data1; } @@ -1596,12 +1603,14 @@

型定義

レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。

always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。

if_resetalways_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml[build] セクションで設定できます。

+

モジュール内にクロックとリセットが1つしかない場合、クロックとリセットの指定は省略できます。

module ModuleA (
     i_clk: input clock,
     i_rst: input reset,
 ) {
     var a: logic<10>;
     var b: logic<10>;
+    var c: logic<10>;
 
     always_ff (i_clk) {
         a = 1;
@@ -1614,6 +1623,14 @@ 

型定義

b = 1; } } + + always_ff { + if_reset { + c = 0; + } else { + c = 1; + } + } }

組み合わせ回路

diff --git a/nightly/book/ja/searchindex.js b/nightly/book/ja/searchindex.js index d8ecfc45..df8bc3b1 100644 --- a/nightly/book/ja/searchindex.js +++ b/nightly/book/ja/searchindex.js @@ -1 +1 @@ -Object.assign(window.search, {"doc_urls":["01_introduction.html#ハードウェア記述言語-veryl","01_introduction.html#最適化された構文","01_introduction.html#相互運用性","01_introduction.html#生産性","02_features.html#特徴","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#はじめに","03_getting_started/01_installation.html#インストール","03_getting_started/01_installation.html#要件","03_getting_started/01_installation.html#インストール方法の選択","03_getting_started/01_installation.html#バイナリのダウンロード","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#エディタ設定","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#そのほかのエディタ","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#プロジェクトを作る","03_getting_started/02_hello_world.html#コードを書く","03_getting_started/02_hello_world.html#ビルドする","04_code_examples.html#コード例","04_code_examples/01_module.html#モジュール","04_code_examples/02_instantiation.html#インスタンス","04_code_examples/03_interface.html#インターフェース","04_code_examples/04_package.html#パッケージ","05_language_reference.html#言語リファレンス","05_language_reference/01_source_code_structure.html#ソースコードの構造","05_language_reference/02_lexical_structure.html#字句構造","05_language_reference/02_lexical_structure.html#エンコーディング","05_language_reference/02_lexical_structure.html#空白","05_language_reference/02_lexical_structure.html#コメント","05_language_reference/02_lexical_structure.html#ドキュメンテーションコメント","05_language_reference/02_lexical_structure.html#識別子","05_language_reference/02_lexical_structure.html#文字列","05_language_reference/02_lexical_structure/01_operator.html#演算子","05_language_reference/02_lexical_structure/02_number.html#数値","05_language_reference/02_lexical_structure/02_number.html#整数","05_language_reference/02_lexical_structure/02_number.html#全ビットのセット","05_language_reference/02_lexical_structure/02_number.html#幅なし整数","05_language_reference/02_lexical_structure/02_number.html#指定ビットのセット","05_language_reference/02_lexical_structure/02_number.html#浮動小数点数","05_language_reference/02_lexical_structure/03_array_literal.html#配列リテラル","05_language_reference/03_data_type.html#データ型","05_language_reference/03_data_type/01_builtin_type.html#組み込み型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な4値データ型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な2値データ型","05_language_reference/03_data_type/01_builtin_type.html#整数型","05_language_reference/03_data_type/01_builtin_type.html#浮動小数点数型","05_language_reference/03_data_type/01_builtin_type.html#文字列型","05_language_reference/03_data_type/01_builtin_type.html#type型","05_language_reference/03_data_type/02_user_defined_type.html#ユーザ定義型","05_language_reference/03_data_type/02_user_defined_type.html#構造体","05_language_reference/03_data_type/02_user_defined_type.html#列挙型","05_language_reference/03_data_type/02_user_defined_type.html#ユニオン","05_language_reference/03_data_type/02_user_defined_type.html#型定義","05_language_reference/03_data_type/03_array.html#配列","05_language_reference/03_data_type/04_clock_reset.html#クロックとリセット","05_language_reference/04_expression.html#式","05_language_reference/04_expression/01_operator_precedence.html#演算子の優先順位","05_language_reference/04_expression/02_function_call.html#関数呼び出し","05_language_reference/04_expression/03_concatenation.html#連結","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#ビット選択","05_language_reference/04_expression/07_range.html#範囲","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#文","05_language_reference/05_statement/01_assignment.html#代入","05_language_reference/05_statement/02_function_call.html#関数呼び出し","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#宣言","05_language_reference/06_declaration/01_variable.html#変数","05_language_reference/06_declaration/02_parameter.html#パラメータ","05_language_reference/06_declaration/03_register.html#レジスタ","05_language_reference/06_declaration/04_combinational.html#組み合わせ回路","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#関数","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#アトリビュート","05_language_reference/06_declaration/08_attribute.html#sv-アトリビュート","05_language_reference/06_declaration/09_generate.html#生成","05_language_reference/06_declaration/10_instantiation.html#インスタンス","05_language_reference/06_declaration/11_named_block.html#名前付きブロック","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#モジュール","05_language_reference/08_interface.html#インターフェース","05_language_reference/09_package.html#パッケージ","05_language_reference/10_systemverilog_interoperation.html#systemverilogとの相互運用","05_language_reference/11_visibility.html#可視性","05_language_reference/12_foreign_language_integration.html#他言語組み込み","05_language_reference/12_foreign_language_integration.html#embed-宣言","05_language_reference/12_foreign_language_integration.html#include-宣言","05_language_reference/13_integrated_test.html#組み込みテスト","05_language_reference/14_generics.html#ジェネリクス","05_language_reference/14_generics.html#ジェネリック関数","05_language_reference/14_generics.html#ジェネリックモジュールインターフェース","05_language_reference/14_generics.html#ジェネリックパッケージ","05_language_reference/14_generics.html#ジェネリック構造体","06_development_environment.html#開発環境","06_development_environment/01_project_configuration.html#プロジェクト設定","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#依存関係","06_development_environment/02_dependencies.html#依存関係の使用","06_development_environment/02_dependencies.html#バージョン要求","06_development_environment/03_publish_project.html#プロジェクトを公開する","06_development_environment/03_publish_project.html#バージョンを上げる","06_development_environment/03_publish_project.html#設定","06_development_environment/04_directory_layout.html#ディレクトリ構成","06_development_environment/04_directory_layout.html#単一のソースディレクトリ","06_development_environment/04_directory_layout.html#単一のソースとターゲットディレクトリ","06_development_environment/04_directory_layout.html#マルチソースディレクトリ","06_development_environment/04_directory_layout.html#gitignore-について","06_development_environment/05_formatter.html#フォーマッタ","06_development_environment/06_linter.html#リンタ","06_development_environment/06_linter.html#lintnaming-セクション","06_development_environment/07_simulator.html#シミュレータ","06_development_environment/07_simulator.html#test-セクション","06_development_environment/07_simulator.html#testverilator-セクション","06_development_environment/07_simulator.html#testvcs-セクション","06_development_environment/07_simulator.html#testvivado-セクション","06_development_environment/08_language_server.html#言語サーバ","06_development_environment/09_compatibility.html#互換性","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#文字列パラメータ","06_development_environment/10_documentation.html#ドキュメンテーション","06_development_environment/11_github_action.html#github-action","07_appendix.html#補遺","07_appendix/01_formal_syntax.html#構文","07_appendix/02_semantic_error.html#セマンティックエラー","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":3,"breadcrumbs":1,"title":1},"1":{"body":0,"breadcrumbs":0,"title":0},"10":{"body":20,"breadcrumbs":0,"title":0},"100":{"body":13,"breadcrumbs":4,"title":1},"101":{"body":14,"breadcrumbs":3,"title":0},"102":{"body":36,"breadcrumbs":3,"title":0},"103":{"body":10,"breadcrumbs":3,"title":0},"104":{"body":35,"breadcrumbs":7,"title":2},"105":{"body":31,"breadcrumbs":2,"title":0},"106":{"body":27,"breadcrumbs":2,"title":0},"107":{"body":7,"breadcrumbs":2,"title":0},"108":{"body":17,"breadcrumbs":4,"title":1},"109":{"body":11,"breadcrumbs":2,"title":0},"11":{"body":37,"breadcrumbs":0,"title":0},"110":{"body":0,"breadcrumbs":2,"title":0},"111":{"body":13,"breadcrumbs":3,"title":1},"112":{"body":4,"breadcrumbs":3,"title":1},"113":{"body":25,"breadcrumbs":2,"title":0},"114":{"body":1,"breadcrumbs":2,"title":0},"115":{"body":15,"breadcrumbs":2,"title":0},"116":{"body":17,"breadcrumbs":2,"title":0},"117":{"body":15,"breadcrumbs":2,"title":0},"118":{"body":27,"breadcrumbs":2,"title":0},"119":{"body":0,"breadcrumbs":0,"title":0},"12":{"body":20,"breadcrumbs":0,"title":0},"120":{"body":21,"breadcrumbs":2,"title":0},"121":{"body":4,"breadcrumbs":3,"title":1},"122":{"body":2,"breadcrumbs":3,"title":1},"123":{"body":4,"breadcrumbs":3,"title":1},"124":{"body":9,"breadcrumbs":3,"title":1},"125":{"body":1,"breadcrumbs":3,"title":1},"126":{"body":10,"breadcrumbs":3,"title":1},"127":{"body":5,"breadcrumbs":3,"title":1},"128":{"body":1,"breadcrumbs":3,"title":1},"129":{"body":3,"breadcrumbs":3,"title":1},"13":{"body":20,"breadcrumbs":1,"title":1},"130":{"body":5,"breadcrumbs":3,"title":1},"131":{"body":5,"breadcrumbs":3,"title":1},"132":{"body":14,"breadcrumbs":3,"title":1},"133":{"body":8,"breadcrumbs":3,"title":1},"134":{"body":6,"breadcrumbs":3,"title":1},"135":{"body":6,"breadcrumbs":3,"title":1},"136":{"body":1,"breadcrumbs":3,"title":1},"137":{"body":1,"breadcrumbs":3,"title":1},"138":{"body":1,"breadcrumbs":3,"title":1},"139":{"body":1,"breadcrumbs":3,"title":1},"14":{"body":20,"breadcrumbs":1,"title":1},"140":{"body":1,"breadcrumbs":3,"title":1},"141":{"body":25,"breadcrumbs":2,"title":0},"142":{"body":26,"breadcrumbs":2,"title":0},"143":{"body":20,"breadcrumbs":2,"title":0},"144":{"body":54,"breadcrumbs":2,"title":0},"145":{"body":39,"breadcrumbs":2,"title":0},"146":{"body":16,"breadcrumbs":2,"title":0},"147":{"body":1,"breadcrumbs":2,"title":0},"148":{"body":36,"breadcrumbs":2,"title":0},"149":{"body":25,"breadcrumbs":2,"title":0},"15":{"body":17,"breadcrumbs":1,"title":1},"150":{"body":24,"breadcrumbs":2,"title":0},"151":{"body":7,"breadcrumbs":3,"title":1},"152":{"body":9,"breadcrumbs":2,"title":0},"153":{"body":9,"breadcrumbs":2,"title":0},"154":{"body":171,"breadcrumbs":3,"title":1},"155":{"body":14,"breadcrumbs":2,"title":0},"156":{"body":5,"breadcrumbs":3,"title":1},"157":{"body":4,"breadcrumbs":3,"title":1},"158":{"body":4,"breadcrumbs":3,"title":1},"159":{"body":7,"breadcrumbs":3,"title":1},"16":{"body":28,"breadcrumbs":1,"title":1},"160":{"body":4,"breadcrumbs":2,"title":0},"161":{"body":2,"breadcrumbs":2,"title":0},"162":{"body":0,"breadcrumbs":3,"title":1},"163":{"body":10,"breadcrumbs":2,"title":0},"164":{"body":75,"breadcrumbs":2,"title":0},"165":{"body":87,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":0,"title":0},"167":{"body":2247,"breadcrumbs":1,"title":0},"168":{"body":0,"breadcrumbs":1,"title":0},"169":{"body":0,"breadcrumbs":2,"title":1},"17":{"body":28,"breadcrumbs":2,"title":2},"170":{"body":0,"breadcrumbs":2,"title":1},"171":{"body":0,"breadcrumbs":2,"title":1},"172":{"body":0,"breadcrumbs":2,"title":1},"173":{"body":0,"breadcrumbs":2,"title":1},"174":{"body":0,"breadcrumbs":2,"title":1},"175":{"body":0,"breadcrumbs":2,"title":1},"176":{"body":0,"breadcrumbs":2,"title":1},"177":{"body":0,"breadcrumbs":2,"title":1},"178":{"body":0,"breadcrumbs":2,"title":1},"179":{"body":0,"breadcrumbs":2,"title":1},"18":{"body":18,"breadcrumbs":1,"title":1},"180":{"body":0,"breadcrumbs":2,"title":1},"181":{"body":0,"breadcrumbs":2,"title":1},"182":{"body":0,"breadcrumbs":2,"title":1},"183":{"body":0,"breadcrumbs":2,"title":1},"184":{"body":0,"breadcrumbs":2,"title":1},"185":{"body":0,"breadcrumbs":2,"title":1},"186":{"body":0,"breadcrumbs":2,"title":1},"187":{"body":0,"breadcrumbs":2,"title":1},"188":{"body":0,"breadcrumbs":2,"title":1},"189":{"body":0,"breadcrumbs":2,"title":1},"19":{"body":22,"breadcrumbs":0,"title":0},"190":{"body":0,"breadcrumbs":2,"title":1},"191":{"body":0,"breadcrumbs":2,"title":1},"192":{"body":0,"breadcrumbs":2,"title":1},"193":{"body":0,"breadcrumbs":2,"title":1},"2":{"body":0,"breadcrumbs":0,"title":0},"20":{"body":7,"breadcrumbs":0,"title":0},"21":{"body":14,"breadcrumbs":0,"title":0},"22":{"body":2,"breadcrumbs":0,"title":0},"23":{"body":3,"breadcrumbs":2,"title":0},"24":{"body":3,"breadcrumbs":2,"title":0},"25":{"body":0,"breadcrumbs":2,"title":0},"26":{"body":0,"breadcrumbs":2,"title":0},"27":{"body":6,"breadcrumbs":3,"title":1},"28":{"body":5,"breadcrumbs":2,"title":0},"29":{"body":11,"breadcrumbs":5,"title":3},"3":{"body":0,"breadcrumbs":0,"title":0},"30":{"body":7,"breadcrumbs":4,"title":2},"31":{"body":2,"breadcrumbs":2,"title":0},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":25,"breadcrumbs":4,"title":0},"34":{"body":20,"breadcrumbs":4,"title":0},"35":{"body":44,"breadcrumbs":4,"title":0},"36":{"body":20,"breadcrumbs":0,"title":0},"37":{"body":68,"breadcrumbs":2,"title":0},"38":{"body":78,"breadcrumbs":2,"title":0},"39":{"body":56,"breadcrumbs":2,"title":0},"4":{"body":7,"breadcrumbs":0,"title":0},"40":{"body":24,"breadcrumbs":2,"title":0},"41":{"body":1,"breadcrumbs":0,"title":0},"42":{"body":12,"breadcrumbs":2,"title":0},"43":{"body":1,"breadcrumbs":2,"title":0},"44":{"body":3,"breadcrumbs":2,"title":0},"45":{"body":2,"breadcrumbs":2,"title":0},"46":{"body":0,"breadcrumbs":2,"title":0},"47":{"body":0,"breadcrumbs":2,"title":0},"48":{"body":7,"breadcrumbs":2,"title":0},"49":{"body":3,"breadcrumbs":2,"title":0},"5":{"body":3,"breadcrumbs":0,"title":0},"50":{"body":68,"breadcrumbs":4,"title":0},"51":{"body":0,"breadcrumbs":4,"title":0},"52":{"body":14,"breadcrumbs":4,"title":0},"53":{"body":10,"breadcrumbs":4,"title":0},"54":{"body":18,"breadcrumbs":4,"title":0},"55":{"body":18,"breadcrumbs":4,"title":0},"56":{"body":5,"breadcrumbs":4,"title":0},"57":{"body":27,"breadcrumbs":4,"title":0},"58":{"body":0,"breadcrumbs":2,"title":0},"59":{"body":0,"breadcrumbs":4,"title":0},"6":{"body":2,"breadcrumbs":0,"title":0},"60":{"body":18,"breadcrumbs":5,"title":1},"61":{"body":18,"breadcrumbs":5,"title":1},"62":{"body":18,"breadcrumbs":4,"title":0},"63":{"body":13,"breadcrumbs":4,"title":0},"64":{"body":5,"breadcrumbs":4,"title":0},"65":{"body":17,"breadcrumbs":5,"title":1},"66":{"body":0,"breadcrumbs":4,"title":0},"67":{"body":22,"breadcrumbs":4,"title":0},"68":{"body":17,"breadcrumbs":4,"title":0},"69":{"body":24,"breadcrumbs":4,"title":0},"7":{"body":15,"breadcrumbs":0,"title":0},"70":{"body":15,"breadcrumbs":4,"title":0},"71":{"body":99,"breadcrumbs":4,"title":0},"72":{"body":68,"breadcrumbs":4,"title":0},"73":{"body":0,"breadcrumbs":2,"title":0},"74":{"body":1,"breadcrumbs":3,"title":0},"75":{"body":22,"breadcrumbs":3,"title":0},"76":{"body":20,"breadcrumbs":3,"title":0},"77":{"body":14,"breadcrumbs":3,"title":0},"78":{"body":26,"breadcrumbs":5,"title":1},"79":{"body":16,"breadcrumbs":3,"title":0},"8":{"body":4,"breadcrumbs":0,"title":0},"80":{"body":9,"breadcrumbs":3,"title":0},"81":{"body":18,"breadcrumbs":7,"title":2},"82":{"body":29,"breadcrumbs":7,"title":2},"83":{"body":2,"breadcrumbs":2,"title":0},"84":{"body":34,"breadcrumbs":3,"title":0},"85":{"body":5,"breadcrumbs":3,"title":0},"86":{"body":16,"breadcrumbs":3,"title":0},"87":{"body":26,"breadcrumbs":5,"title":1},"88":{"body":10,"breadcrumbs":3,"title":0},"89":{"body":9,"breadcrumbs":5,"title":1},"9":{"body":57,"breadcrumbs":0,"title":0},"90":{"body":35,"breadcrumbs":3,"title":0},"91":{"body":0,"breadcrumbs":2,"title":0},"92":{"body":33,"breadcrumbs":3,"title":0},"93":{"body":11,"breadcrumbs":3,"title":0},"94":{"body":34,"breadcrumbs":3,"title":0},"95":{"body":11,"breadcrumbs":3,"title":0},"96":{"body":7,"breadcrumbs":5,"title":1},"97":{"body":24,"breadcrumbs":3,"title":0},"98":{"body":8,"breadcrumbs":7,"title":2},"99":{"body":0,"breadcrumbs":3,"title":0}},"docs":{"0":{"body":"Veryl Veryl は SystemVerilog をベースに設計されたハードウェア記述言語であり、以下のような特徴があります。","breadcrumbs":"概要 » ハードウェア記述言語 Veryl","id":"0","title":"ハードウェア記述言語 Veryl"},"1":{"body":"Verylは、SystemVerilogの経験者にとって親しみやすい基本構文に基づきながら、論理設計に最適化された構文を採用しています。この最適化には、たとえば合成可能性の保証やシミュレーション結果の一致の保証、頻出する定型文を簡素化する多数の構文などの提供が含まれます。このアプローチにより、学習の容易さ、設計プロセスの信頼性と効率の向上、およびコードの記述の容易さが実現されます。","breadcrumbs":"概要 » 最適化された構文","id":"1","title":"最適化された構文"},"10":{"body":"末尾カンマは、リストの最後の要素の後ろにカンマが置かれる構文です。これにより、要素の追加や削除が容易になり、バージョン管理システムにおける不必要な差異を減らすことができます。 SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"特徴 » 末尾カンマ","id":"10","title":"末尾カンマ"},"100":{"body":"SV アトリビュートは SystemVerilog のアトリビュートを表し、(* *) という形式の SystemVerilog アトリビュートに変換されます。 module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » アトリビュート » SV アトリビュート","id":"100","title":"SV アトリビュート"},"101":{"body":"宣言や for や if を使って生成することができます。: で示すラベルは生成された複数の宣言を識別するのに必要です。 module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // else 句のラベルは省略可能 assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » 生成 » 生成","id":"101","title":"生成"},"102":{"body":"inst キーワードはモジュールやインターフェースのインスタンス化を表します。インスタンスの名前は inst の後に、インスタンスの型は : の後に書きます。#() でパラメータオーバーライドを、() でポート接続を表します。 module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // 名前によるパラメータ代入 paramB: 10, ) ( a , // 名前によるポート接続 bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » インスタンス » インスタンス","id":"102","title":"インスタンス"},"103":{"body":"{} ブロックにラベルを付けることができます。そのような名前付きブロックは独立した名前空間を持ちます。 module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » 名前付きブロック » 名前付きブロック","id":"103","title":"名前付きブロック"},"104":{"body":"import 宣言は他のパッケージからシンボルをインポートします。モジュール、インターフェース、パッケージの要素としてだけでなくトップレベルにも配置することができます。import 宣言の引数には package::* のようなワイルドカードパターンを使用することができます。 // ファイルスコープインポート\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export 宣言は宣言したパッケージからシンボルをエクスポートします。全てのシンボルをエクスポートするには export * を使用します。 package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"モジュールはソースコードの最上位コンポーネントの1つです。モジュールはオーバーライド可能なパラメータ、接続ポート、内部ロジックを持ちます。 オーバーライド可能なパラメータは #() 内で宣言できます。それぞれのパラメータ宣言は param キーワードで始まり、識別子、:、パラメータの型、デフォルト値で構成されます。 接続ポートは () 内で宣言できます。それぞれのポート宣言は識別子、:、ポートの方向、ポートの型で構成されます。利用可能なポート方向は以下の通りです。 input:入力ポート output:出力ポート inout:双方向ポート modport:インターフェースのmodport module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » モジュール » モジュール","id":"105","title":"モジュール"},"106":{"body":"インターフェースはソースコードの最上位コンポーネントの1つです。インターフェースはオーバーライド可能なパラメータ、インターフェース定義を持ちます。 オーバーライド可能なパラメータについてはモジュールと同じです。 インターフェース定義では modport を宣言することができます。modport はモジュールのポート宣言で、ポートを束ねて接続するために使うことができます。 interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » インターフェース » インターフェース","id":"106","title":"インターフェース"},"107":{"body":"パッケージはソースコードの最上位コンポーネントの1つです。パッケージはパラメータや関数などいくつかの宣言をまとめることができます。 パッケージ内の要素にアクセスするには、:: 記号を使って PackageA::ParamA のようにします。 package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » パッケージ » パッケージ","id":"107","title":"パッケージ"},"108":{"body":"SystemVerilogの要素にアクセスする場合は $sv 名前空間を使えます。例えば、SystemVerilogソースコードの \"ModuleA\" は $sv::ModuleA です。Veryl はこれらの要素が実際に存在するかどうかは確認しません。 module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilogとの相互運用 » SystemVerilogとの相互運用","id":"108","title":"SystemVerilogとの相互運用"},"109":{"body":"デフォルトではプロジェクトのトップレベルアイテム(モジュール、インターフェース、パッケージ)はプライベートです。プライベートとは他のプロジェクトから参照できないことを意味します。 pub キーワードによって他のプロジェクトから見えるように指定することができます。veryl doc コマンドはパブリックなアイテムの ドキュメント のみを生成します。 pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » 可視性 » 可視性","id":"109","title":"可視性"},"11":{"body":"クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。 さらに、明示的な clock と reset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。 SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff (i_clk, i_rst) { if_reset { } else { } }\n}","breadcrumbs":"特徴 » クロックとリセットの抽象化","id":"11","title":"クロックとリセットの抽象化"},"110":{"body":"","breadcrumbs":"Language Reference » 他言語組み込み » 他言語組み込み","id":"110","title":"他言語組み込み"},"111":{"body":"embed 宣言により他言語をコードに埋め込むことができます。embed 宣言の第一引数は埋め込み方法です。以下の方法がサポートされています。 inline: コードをそのまま展開する コードブロックは lang{{{ で始まり、}}} で終わります。以下の lang 指示子がサポートされています。 sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » 他言語組み込み » embed 宣言","id":"111","title":"embed 宣言"},"112":{"body":"include 宣言により他言語のファイルを含めることができます。include 宣言の第一引数は embed 宣言と同じです。第二引数はソースコードからの相対ファイルパスです。 include(inline, \"module.sv\");","breadcrumbs":"Language Reference » 他言語組み込み » include 宣言","id":"112","title":"include 宣言"},"113":{"body":"組み込みテストは #[test(test_name)] アトリビュートでマークすることができます。マークされたブロックはテストとして認識され、 veryl test コマンドによって実行されます。ブロックのトップレベルモジュールはテスト名と同じでなければなりません。 $info、$warning、$error、$fatal システム関数によるメッセージは Veryl コンパイラにより実行ログとして表示されます。$error と $fatal の呼び出しはテストの失敗として扱われます。 以下の例では SystemVerilog のソースコードを embed 宣言で埋め込み、テストとしてマークしています。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} veryl test で使用される RTLシミュレータについては シミュレータ を参照してください。","breadcrumbs":"Language Reference » 組み込みテスト » 組み込みテスト","id":"113","title":"組み込みテスト"},"114":{"body":"ジェネリクスはパラメータオーバーライドでは実現できないアイテムのパラメータ化を可能にします。以下のアイテムがジェネリクスをサポートしています。 関数 モジュール インターフェース パッケージ 構造体 ユニオン それぞれのジェネリック定義はジェネリックパラメータ(T のような大文字1文字がよく使われます)を持ち、定義内で識別子や式として配置できます。ジェネリックパラメータはアイテムの識別子の後に ::<> を用いて宣言します。 ジェネリクスを使用するためには ::<> を用いて実パラメータを与えます。実パラメータとしては数値リテラルと :: で連結された識別子を使用することができます。 さらに、実パラメータはジェネリクス定義位置から参照できなければなりません。例えば、モジュール名はプロジェクト全体から参照できるので、実パラメータとして使用できます。一方、ローカルパラメータは多くの場合、実パラメータとして使用できません。これはローカルパラメータがジェネリクス定義位置からは参照できない場合に発生します。","breadcrumbs":"Language Reference » ジェネリクス » ジェネリクス","id":"114","title":"ジェネリクス"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック関数","id":"115","title":"ジェネリック関数"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックモジュール/インターフェース","id":"116","title":"ジェネリックモジュール/インターフェース"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックパッケージ","id":"117","title":"ジェネリックパッケージ"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // ローカルに定位された型が使用できています // これは `TypeA` が `StructA` の定義位置から参照できるためです var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック構造体","id":"118","title":"ジェネリック構造体"},"119":{"body":"この章ではプロジェクト設定や開発ツールなど開発環境について説明します。","breadcrumbs":"開発環境 » 開発環境","id":"119","title":"開発環境"},"12":{"body":"ドキュメンテーションコメントとしてモジュールの説明を書いておくとドキュメントを自動生成することができます。単なるテキストだけでなく、マークダウン形式や WaveDrom による波形記述を使用することができます。 SystemVerilog\nVeryl // コメント\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"特徴 » ドキュメンテーションコメント","id":"12","title":"ドキュメンテーションコメント"},"120":{"body":"[project] --- プロジェクト定義 name --- プロジェクトの名前 version --- プロジェクトのバージョン authors --- プロジェクトの作者 description --- プロジェクトの説明 license --- プロジェクトのライセンス repository --- プロジェクトのリポジトリの URL [build] --- ビルド設定 clock_type --- クロックタイプ reset_type --- リセットタイプ filelist_type --- ファイルリストのタイプ target --- 出力方法 implicit_parameter_types --- 暗黙のパラメータ型を有効にするかどうか omit_project_prefix --- プロジェクト名のプレフィックスを省略するかどうか strip_comments --- コメントを削除するかどうか [format] --- フォーマット設定 [lint] --- リント設定 [test] --- テスト設定 [publish] --- 公開設定 [dependencies] --- ライブラリの依存関係","breadcrumbs":"Development Environment » プロジェクト設定 » プロジェクト設定","id":"120","title":"プロジェクト設定"},"121":{"body":"Veryl.toml の最初のセクションは [project] です。name と version は必須です。","breadcrumbs":"Development Environment » プロジェクト設定 » [project] セクション","id":"121","title":"[project] セクション"},"122":{"body":"プロジェクト名は生成されるコードのプレフィックスに使われます。そのためプロジェクト名はアルファベットか _ で始まり、英数字と_ しか使ってはいけません。","breadcrumbs":"Development Environment » プロジェクト設定 » name フィールド","id":"122","title":"name フィールド"},"123":{"body":"プロジェクトのバージョンは セマンティックバージョニング に従います。バージョンは以下の3つの数字からなります。 メジャー -- 互換性のない変更時に上げる マイナー -- 互換性のある機能追加時に上げる バッチ -- 互換性のあるバグ修正時に上げる [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » version フィールド","id":"123","title":"version フィールド"},"124":{"body":"オプションの authors フィールドにはこのプロジェクトの作者である人や組織を配列にリストアップします。配列内の各文字列のフォーマットは自由です。名前のみ、Eメールアドレスのみ、名前と括弧で囲んだEメールアドレスといった形式がよく使われます。 [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » プロジェクト設定 » authors フィールド","id":"124","title":"authors フィールド"},"125":{"body":"description はプロジェクトの短い説明です。マークダウンではなくプレーンテキスト形式で書きます。","breadcrumbs":"Development Environment » プロジェクト設定 » description フィールド","id":"125","title":"description フィールド"},"126":{"body":"license フィールドはこのプロジェクトがどのライセンスで公開されているかを指定します。指定する文字列は SPDX 2.3 license expression に従ってください。 [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » license フィールド","id":"126","title":"license フィールド"},"127":{"body":"repository フィールドはプロジェクトのソースリポジトリへのURLです。 [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » プロジェクト設定 » repository フィールド","id":"127","title":"repository フィールド"},"128":{"body":"[build] セクションはコード生成の設定です。","breadcrumbs":"Development Environment » プロジェクト設定 » [build] セクション","id":"128","title":"[build] セクション"},"129":{"body":"clock_type フィールドはフリップフロップを駆動するクロックエッジを指定します。 posedge -- 立ち上がりエッジ negedge -- 立ち下がりエッジ","breadcrumbs":"Development Environment » プロジェクト設定 » clock_type フィールド","id":"129","title":"clock_type フィールド"},"13":{"body":"ノンブロッキング専用の代入演算子はなく、always_ff 内ではノンブロッキング代入が、 always_comb 内ではブロッキング代入が推論されます。そのため always_ff 内でも always_comb 内と同様に様々な複合代入演算子を使用することができます。 SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"特徴 » always_ff での複合代入演算子","id":"13","title":"always_ff での複合代入演算子"},"130":{"body":"reset_type フィールドはリセットの極性と同期性を指定します。 async_low -- 非同期・負極性 async_high -- 非同期・正極性 sync_low -- 同期・負極性 sync_high -- 同期・正極性","breadcrumbs":"Development Environment » プロジェクト設定 » reset_type フィールド","id":"130","title":"reset_type フィールド"},"131":{"body":"filelist_type フィールドはファイルリストのフォーマットを指定します。 absolute -- プレーンテキスト形式の絶対パスのリスト relative -- プレーンテキスト形式の相対パスのリスト flgen -- flgen 形式のファイルリスト","breadcrumbs":"Development Environment » プロジェクト設定 » filelist_type フィールド","id":"131","title":"filelist_type フィールド"},"132":{"body":"target フィールドはコードの生成先を指定します。 source -- ソースコードと同じディレクトリ directory -- 特定のディレクトリ bundle -- 特定のファイル directory あるいは bundle を指定する場合は、ターゲットパスを path キーで指定します。 [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » プロジェクト設定 » target フィールド","id":"132","title":"target フィールド"},"133":{"body":"implicit_parameter_types フィールドは生成コードの parameter 宣言で省略する型をリストアップします。いくつかのEDAツールでは特定の型(例えば string)を parameter 宣言で使うことができないためです。例えば string を指定する場合は以下のようにします。 [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » プロジェクト設定 » implicit_parameter_types フィールド","id":"133","title":"implicit_parameter_types フィールド"},"134":{"body":"omit_project_prefix が true のとき、モジュール・インターフェース・パッケージ名のプロジェクトプレフィックスは省略されます。この値はデフォルトで false です。 [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » プロジェクト設定 » omit_project_prefix フィールド","id":"134","title":"omit_project_prefix フィールド"},"135":{"body":"strip_comments が true のとき、コメント出力は省略されます。この値はデフォルトで false です。 [build]\nstrip_comments = true","breadcrumbs":"Development Environment » プロジェクト設定 » strip_comments フィールド","id":"135","title":"strip_comments フィールド"},"136":{"body":"[format] セクションはコードフォーマッターの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [format] セクション","id":"136","title":"[format] セクション"},"137":{"body":"[lint] セクションはリンタの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [lint] セクション","id":"137","title":"[lint] セクション"},"138":{"body":"[test] セクションはRTLシミュレータによるテストの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [test] セクション","id":"138","title":"[test] セクション"},"139":{"body":"[publish] セクションはプロジェクト公開の設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [publish] セクション","id":"139","title":"[publish] セクション"},"14":{"body":"enumのバリアントはenum毎に独立した名前空間を持っており意図しない名前の衝突を防ぐことができます。 SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"特徴 » 独立した名前空間を持つenumバリアント","id":"14","title":"独立した名前空間を持つenumバリアント"},"140":{"body":"[dependencies] セクションはライブラリの依存関係です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [dependencies] セクション","id":"140","title":"[dependencies] セクション"},"141":{"body":"他の Veryl プロジェクトへの依存関係をプロジェクトに追加したい場合、Veryl.toml に [dependencies] セクションを追加します。エントリの左辺は依存関係へのパス、右辺はバージョンです。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" デフォルトでは依存関係の名前空間はそのプロジェクト名と同じです。もし名前空間を指定したい場合は、 name フィールドを使います。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} 同じ依存関係の複数のバージョンを使う場合は、以下のようにそれぞれの名前を指定できます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » 依存関係 » 依存関係","id":"141","title":"依存関係"},"142":{"body":"Veryl.toml に依存関係を追加したあとは、その依存関係の module、interface、packageを使うことができます。以下は veryl_sample の依存関係に含まれる delay モジュールを使った例です。 module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} 注:上記のコードのプレイボタンの結果は依存関係解決を行わないので正確ではありません。実際のモジュール名は veryl_samlle_delay になります。","breadcrumbs":"Development Environment » 依存関係 » 依存関係の使用","id":"142","title":"依存関係の使用"},"143":{"body":"[dependencies] セクションの version フィールドはバージョン要求を示します。例えば、version = \"0.1.0\" は 0.1.0 と互換性のある最新バージョンを意味します。互換性は セマンティックバージョニング で判定されます。バージョンは以下の3つの部分からなります。 メジャー バージョンはAPI非互換な変更 マイナー バージョンは互換性のある機能追加 パッチ バージョンは互換性のあるバグ修正 もし メジャー バージョンが 0 なら、マイナー が非互換変更と解釈されます。 バージョン 0.1.0、0.1.1、0.2.0があった場合、0.1.1 が選択されます。これは以下のように決定されます。 0.1.0 は 0.1.0 と互換性がある 0.1.1 は 0.1.0 と互換性がある 0.2.0 は 0.1.0 と互換性がない 0.1.1 は互換性のある最新バージョン version フィールドは =0.1.0 のような指定も可能です。詳細は Rust のバージョン要求についてのドキュメントを参照してください。 Specifying Dependencies .","breadcrumbs":"Development Environment » 依存関係 » バージョン要求","id":"143","title":"バージョン要求"},"144":{"body":"プロジェクトを公開するには veryl publish コマンドを使います。公開とはバージョン番号とgitのリビジョンを紐づけることです。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish は以下のように公開されたバージョンの情報を含んだ Veryl.pub というファイルを生成します。 [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" Veryl.pub と生成した後、gitのadd、commit、pushを行えば公開手続きは完了です。gitブランチはデフォルトブランチでなければなりません。これは Veryl が Veryl.pub をデフォルトブランチから探すためです。 $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push Veryl.toml の [publish] セクションに publish_commit を設定して自動コミットを有効にすれば、gitのaddとcommitが自動で実行されます。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » プロジェクトを公開する","id":"144","title":"プロジェクトを公開する"},"145":{"body":"--bump オプションを使うと公開と同時にバージョンを上げることもできます。公開と同様に、Veryl.toml の[publish] セクションに bump_commit を設定すれば自動でcommitされます。 $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » バージョンを上げる","id":"145","title":"バージョンを上げる"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" 設定 設定値 デフォルト 説明 bump_commit ブーリアン false バージョンアップ後の自動コミット publish_commit ブーリアン false 公開後の自動コミット bump_commit_mesasge 文字列 \"chore: Bump version\" バージョンアップ後のコミットメッセージ publish_commit_mesasge 文字列 \"chore: Publish\" 公開後のコミットメッセージ","breadcrumbs":"Development Environment » プロジェクトを公開する » 設定","id":"146","title":"設定"},"147":{"body":"Veryl は任意のディレクトリ構成をサポートしています。これは独立したプロジェクトと他のプロジェクトに組み込まれたプロジェクトでは最適なディレクトリ構成が異なるためです。 この節ではいくつかのディレクトリ構成パターンを示します。","breadcrumbs":"Development Environment » ディレクトリ構成 » ディレクトリ構成","id":"147","title":"ディレクトリ構成"},"148":{"body":"このパターンでは全てのソースコードは src ディレクトリに配置されます。src 以下のサブディレクトリの構成は自由です。 $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl は全ての *.veryl ファイルを収集し、デフォルトではソースと同じディレクトリにコードを生成します。この挙動は以下の設定で明示することもできます。 [build]\ntarget = \"source\" veryl build を実行するとディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースディレクトリ","id":"148","title":"単一のソースディレクトリ"},"149":{"body":"生成されたコードを1つのディレクトリに入れたい場合、Veryl.toml の [build] セクションで target を以下のように設定します。 [build]\ntarget = {type = \"directory\", path = \"target\"} ディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースとターゲットディレクトリ","id":"149","title":"単一のソースとターゲットディレクトリ"},"15":{"body":"ビット連結における繰り返し記述として明示的な repeat 記法を採用し、 複雑な {} の組み合わせより可読性が向上しています。 SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"特徴 » ビット連結における repeat","id":"15","title":"ビット連結における repeat"},"150":{"body":"既存の SystemVerilog プロジェクトに Veryl のプロジェクトを組み込む場合、以下のような構成にすることもできます。 $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files 生成された prj.f は生成されたソースコードを全てリストアップしているので、既存の SystemVerilog ファイルリストと一緒に使うことができます。","breadcrumbs":"Development Environment » ディレクトリ構成 » マルチソースディレクトリ","id":"150","title":"マルチソースディレクトリ"},"151":{"body":"Veryl はデフォルトの .gitignore を提供しません。これはプロジェクト毎にどのファイルを無視する必要があるかが変わるためです。 .gitignore の候補としては以下が考えられます。 dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » ディレクトリ構成 » .gitignore について","id":"151","title":".gitignore について"},"152":{"body":"veryl fmt コマンドでソースコードをフォーマットできます。あるいは言語サーバの textDocument/formatting 要求によるフォーマットにも対応しています。 設定可能な項目は以下の通りです。これは Veryl.toml の [format] セクションで指定できます。 [format]\nindent_width = 4 設定 設定値 説明 indent_width 整数 インデントのスペース幅","breadcrumbs":"Development Environment » フォーマッタ » フォーマッタ","id":"152","title":"フォーマッタ"},"153":{"body":"veryl check あるいは veryl build でリントチェックができます。あるいは言語サーバはリアルタイムでのチェックを行います。 設定可能な項目は以下の通りです。これは Veryl.toml の [lint] セクションで指定できます。 [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » リンタ » リンタ","id":"153","title":"リンタ"},"154":{"body":"このセクションは命名規則の設定です。 設定 設定値 説明 case_enum ケースタイプ [1] enum のケーススタイル case_function ケースタイプ [1] function のケーススタイル case_instance ケースタイプ [1] インスタンスのケーススタイル case_interface ケースタイプ [1] interface のケーススタイル case_modport ケースタイプ [1] modport のケーススタイル case_module ケースタイプ [1] module のケーススタイル case_package ケースタイプ [1] package のケーススタイル case_parameter ケースタイプ [1] parameter のケーススタイル case_port_inout ケースタイプ [1] inout ポートのケーススタイル case_port_input ケースタイプ [1] input ポートのケーススタイル case_port_modport ケースタイプ [1] modport ポートのケーススタイル case_port_output ケースタイプ [1] output ポートのケーススタイル case_reg ケースタイプ [1] レジスタ変数 [2] のケーススタイル case_struct ケースタイプ [1] struct のケーススタイル case_wire ケースタイプ [1] ワイヤ変数 [3] のケーススタイル prefix_enum 文字列 enum のプレフィックス prefix_function 文字列 function のプレフィックス prefix_instance 文字列 インスタンスのプレフィックス prefix_interface 文字列 interface のプレフィックス prefix_modport 文字列 modport のプレフィックス prefix_module 文字列 module のプレフィックス prefix_package 文字列 package のプレフィックス prefix_parameter 文字列 parameter のプレフィックス prefix_port_inout 文字列 inout ポートのプレフィックス prefix_port_input 文字列 input ポートのプレフィックス prefix_port_modport 文字列 modport ポートのプレフィックス prefix_port_output 文字列 output ポートのプレフィックス prefix_reg 文字列 レジスタ変数 [2] のプレフィックス prefix_struct 文字列 struct のプレフィックス prefix_wire 文字列 ワイヤ変数 [3] のプレフィックス re_forbidden_enum 正規表現 [4] enum の禁止正規表現 re_forbidden_function 正規表現 [4] function の禁止正規表現 re_forbidden_instance 正規表現 [4] インスタンスの禁止正規表現 re_forbidden_interface 正規表現 [4] interface の禁止正規表現 re_forbidden_modport 正規表現 [4] modport の禁止正規表現 re_forbidden_module 正規表現 [4] module の禁止正規表現 re_forbidden_package 正規表現 [4] package の禁止正規表現 re_forbidden_parameter 正規表現 [4] parameter の禁止正規表現 re_forbidden_port_inout 正規表現 [4] inout ポートの禁止正規表現 re_forbidden_port_input 正規表現 [4] input ポートの禁止正規表現 re_forbidden_port_modport 正規表現 [4] modport ポートの禁止正規表現 re_forbidden_port_output 正規表現 [4] output ポートの禁止正規表現 re_forbidden_reg 正規表現 [4] レジスタ変数 [2] の禁止正規表現 re_forbidden_struct 正規表現 [4] struct の禁止正規表現 re_forbidden_wire 正規表現 [4] ワイヤ変数 [3] の禁止正規表現 re_required_enum 正規表現 [4] enum の必須正規表現 re_required_function 正規表現 [4] function の必須正規表現 re_required_instance 正規表現 [4] インスタンスの必須正規表現 re_required_interface 正規表現 [4] interface の必須正規表現 re_required_modport 正規表現 [4] modport の必須正規表現 re_required_module 正規表現 [4] module の必須正規表現 re_required_package 正規表現 [4] package の必須正規表現 re_required_parameter 正規表現 [4] parameter の必須正規表現 re_required_port_inout 正規表現 [4] inout ポートの必須正規表現 re_required_port_input 正規表現 [4] input ポートの必須正規表現 re_required_port_modport 正規表現 [4] modport ポートの必須正規表現 re_required_port_output 正規表現 [4] output ポートの必須正規表現 re_required_reg 正規表現 [4] レジスタ変数 [2] の必須正規表現 re_required_struct 正規表現 [4] struct の必須正規表現 re_required_wire 正規表現 [4] ワイヤ変数 [3] の必須正規表現 設定可能な値は以下です。 \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase \".*\"のような正規表現です。使用可能な構文は こちら . レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 ワイヤ変数とは always_comb で代入される変数です。合成フェーズでワイヤにマップされます。","breadcrumbs":"Development Environment » リンタ » [lint.naming] セクション","id":"154","title":"[lint.naming] セクション"},"155":{"body":"RTLシミュレータによるテストは veryl test で実行することができます。サポートされているシミュレータは以下の通りです。 Verilator Synopsys VCS AMD Vivado Simulator Verilatorはデフォルトのシミュレータです。Veryl.tomlやコマンドラインオプションでシミュレータが指定されていない場合に使用されます。 設定可能な項目は以下の通りです。これは Veryl.toml の [test] セクションで指定できます。 [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » シミュレータ » シミュレータ","id":"155","title":"シミュレータ"},"156":{"body":"このセクションはテストの設定です。 設定 設定値 説明 simulator シミュレータ名 [1] デフォルトのシミュレータ 設定可能な値は以下です。 \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » シミュレータ » [test] セクション","id":"156","title":"[test] セクション"},"157":{"body":"このセクションはVerilatorによるテストの設定です。 設定 設定値 説明 compile_args [文字列] verilator コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.verilator] セクション","id":"157","title":"[test.verilator] セクション"},"158":{"body":"このセクションはVCSによるテストの設定です。 設定 設定値 説明 compile_args [文字列] vcs コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vcs] セクション","id":"158","title":"[test.vcs] セクション"},"159":{"body":"このセクションはVivadoによるテストの設定です。 設定 設定値 説明 compile_args [文字列] xvlog コマンドへの追加の引数 elaborate_args [文字列] xelab コマンドへの追加の引数 simulate_args [文字列] xsim コマンドへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vivado] セクション","id":"159","title":"[test.vivado] セクション"},"16":{"body":"三項演算子の代わりに if 式と case 式を採用することで、比較するアイテム数が多い場合の可読性が向上します。 SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"特徴 » if / case 式","id":"16","title":"if / case 式"},"160":{"body":"veryl-ls は言語サーバのバイナリです。使用するにはエディタの設定やプラグインが必要です。 設定可能な項目は以下の通りです。これは各エディタの設定から指定できます。 設定 設定値 デフォルト 説明 useOperatorCompletion ブーリアン false 演算子(例 '>:', '>>')の補完を有効にする","breadcrumbs":"Development Environment » 言語サーバ » 言語サーバ","id":"160","title":"言語サーバ"},"161":{"body":"いくつかのツールはサポートしていない SystemVerilog 構文があります。これをサポートするために、 Veryl.toml の設定でコード生成をカスタマイズすることができます。","breadcrumbs":"Development Environment » 互換性 » 互換性","id":"161","title":"互換性"},"162":{"body":"","breadcrumbs":"Development Environment » 互換性 » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivadoは string 型の parameter をサポートしていません。 parameter string a = \"A\"; その場合は implicit_parameter_types を設定してください。 [build]\nimplicit_parameter_types = [\"string\"] 設定すると生成コードは以下のようになります。 parameter a = \"A\";","breadcrumbs":"Development Environment » 互換性 » 文字列パラメータ","id":"163","title":"文字列パラメータ"},"164":{"body":"プロジェクトのドキュメントは veryl doc コマンドで生成することができます。全てのパブリックなモジュールとインターフェース、パッケージがリストアップされます。(参照 可視性 ) 詳細な説明を書きたい場合はドキュメンテーションコメントを追加することもできます。ドキュメンテーションコメントでは マークダウン 記法を使えます。 WaveDrom による波形記述もサポートされています。wavedrom コードブロック内で、WaveDromの構文を記述することができます。構文の詳細は チュートリアル を参照してください。 /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} 設定可能な項目は以下の通りです。これは Veryl.toml の [doc] セクションで指定できます。 [doc]\npath = \"document\" 設定 設定値 デフォルト 説明 path 文字列 \"doc\" 出力ディレクトリへのパス","breadcrumbs":"Development Environment » ドキュメンテーション » ドキュメンテーション","id":"164","title":"ドキュメンテーション"},"165":{"body":"ビルド済みのVerylバイナリをダウンロードするための公式GitHub actionが提供されています。 https://github.com/marketplace/actions/setup-veryl GitHub actionスクリプトの例は以下の通りです。 フォーマットとビルドチェック name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check GitHub Pagesからドキュメントを公開する name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Verilator によるテスト このために GitHub action veryl-lang/setup-verilator を公開しています。 name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"補遺 » 補遺","id":"166","title":"補遺"},"167":{"body":"Veryl のパーサはパーサジェネレータ parol を使っています。以下の parol の構文定義が正式な構文です。 %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » 構文 » 構文","id":"167","title":"構文"},"168":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » セマンティックエラー","id":"168","title":"セマンティックエラー"},"169":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"閉区間 ..= と半開区間 .. を表す記法を導入し、 for 、inside で範囲を統一的に記述できるようにしました。また、inside の逆を意味する outside も導入しました。 SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"特徴 » 範囲 for / inside / outside","id":"17","title":"範囲 for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"最上位ビットを示す msb 記法により、パラメータから最上位ビットを計算する必要がなくなり、より意図を明確にすることができます。 SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"特徴 » msb 記法","id":"18","title":"msb 記法"},"180":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"変数宣言と同時に値を束縛する専用の let 文が用意されており、SystemVerilogではサポートされていなかった様々な場所で使用することができます。 SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"特徴 » let 文","id":"19","title":"let 文"},"190":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"VerylはSystemVerilogとの相互運用性を考慮して設計されており、既存のSystemVerilogコンポーネントやプロジェクトとの組み合わせや部分的な置き換えをスムーズに行うことができます。さらに、VerylからトランスパイルされたSystemVerilogソースコードは、その高い可読性により、シームレスな統合やデバッグを可能にします。","breadcrumbs":"概要 » 相互運用性","id":"2","title":"相互運用性"},"20":{"body":"変数のスコープを限定するための名前付きブロックを定義することができます。 SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"特徴 » 名前付きブロック","id":"20","title":"名前付きブロック"},"21":{"body":"pub キーワードの付かないモジュールはプロジェクト外から参照できず、ドキュメントの自動生成にも含まれません。これによりプロジェクト外に公開したいものと内部実装とを区別することができます。 SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} いくつかのブラウザはデフォルトでGIF動画の再生を停止しています。ブラウザの設定を確認してください。","breadcrumbs":"特徴 » 可視性制御","id":"21","title":"可視性制御"},"22":{"body":"Veryl を使ってみましょう。この章では Veryl のインストール、サンプルプロジェクトの作成とビルドまでを行います。","breadcrumbs":"はじめに » はじめに","id":"22","title":"はじめに"},"23":{"body":"Veryl バイナリをダウンロードしてインストールできます。もし Rust ユーザであれば cargo コマンドでインストールすることもできます。","breadcrumbs":"Getting Started » インストール » インストール","id":"23","title":"インストール"},"24":{"body":"Veryl は git コマンドを内部で使用します。 git が起動できることを確認しておいてください。","breadcrumbs":"Getting Started » インストール » 要件","id":"24","title":"要件"},"25":{"body":"","breadcrumbs":"Getting Started » インストール » インストール方法の選択","id":"25","title":"インストール方法の選択"},"26":{"body":"リリースページ からダウンロードして、パスの通ったところに展開してください。","breadcrumbs":"Getting Started » インストール » バイナリのダウンロード","id":"26","title":"バイナリのダウンロード"},"27":{"body":"cargo コマンドからインストールすることもできます。 cargo install veryl veryl-ls","breadcrumbs":"Getting Started » インストール » Cargo","id":"27","title":"Cargo"},"28":{"body":"公式には Visual Studio Code と Vim / Neovim がサポートされています。","breadcrumbs":"Getting Started » インストール » エディタ設定","id":"28","title":"エディタ設定"},"29":{"body":"Visual Studio Code 向けに Veryl 拡張が提供されています。拡張はファイルタイプの検出とシンタックスハイライト、言語サーバの組み込みを提供します。拡張パネルから \"Veryl\" で検索するか、以下の URL からインストールしてください。 Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » インストール » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Verylはパッケージマネージャ、ビルドツール、そしてVSCode、Vim、Emacsなどの主要なエディタに対応するリアルタイムチェッカー、自動補完機能、自動フォーマッタなど、豊富な開発支援ツールを備えています。これらのツールは、開発プロセスを加速し、生産性を大幅に向上させることができます。 これらの特性により、Verylは設計者が高品質なハードウェア設計をより効率的かつ生産的に行うための強力なサポートを提供します。","breadcrumbs":"概要 » 生産性","id":"3","title":"生産性"},"30":{"body":"Vim / Neovim 向けに Veryl プラグインが提供されています。プラグインはファイルタイプの検出とシンタックスハイライトを提供します。プラグインのインストールと言語サーバの組み込みは以下の URL を参照してください。 Vim / Neovim plugin","breadcrumbs":"Getting Started » インストール » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl は言語サーバを提供しているので、言語サーバをサポートしているエディタ(例えば Emacs)であれば利用できます。","breadcrumbs":"Getting Started » インストール » そのほかのエディタ","id":"31","title":"そのほかのエディタ"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"まず始めに、新しい Veryl プロジェクトを作りましょう。 veryl new hello コマンドを実行すると、以下のようなディレクトリとファイルが作成されます。 $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml はプロジェクトの設定ファイルです。 [project]\nname = \"hello\"\nversion = \"0.1.0\" 全設定の説明は こちら 。","breadcrumbs":"Getting Started » Hello, World! » プロジェクトを作る","id":"33","title":"プロジェクトを作る"},"34":{"body":"ソースコードはプロジェクトディレクトリ内のどこに書いても構いません。これは Veryl プロジェクトが独立したプロジェクトである場合もあれば、他のSystemVerilog プロジェクトに組み込まれている場合もあるからです。Veryl のソースコードの拡張子は .veryl です。 例えば以下のコードを src/hello.veryl に書いてみましょう。 module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files 注:この本のいくつかのソースコードには、マウスをホバーすると現れるプレイボタン \"▶\" があります。ボタンをクリックすると、トランスパイルされた SystemVerilog のコードが現れます。module ModuleA のコードのボタンを押してみましょう。","breadcrumbs":"Getting Started » Hello, World! » コードを書く","id":"34","title":"コードを書く"},"35":{"body":"veryl build コマンドで SystemVerilog のソースコードを生成できます。 $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files デフォルトでは SystemVerilog のコードは Veryl のコードと同じディレクトリに生成されます。つまり src/hello.sv です。 module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule さらに、生成されたコードのファイルリスト hello.f も生成されます。これは SystemVerilog コンパイラで使用できます。 Verilator で使用するには以下のようにします。 $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » ビルドする","id":"35","title":"ビルドする"},"36":{"body":"Veryl は SystemVerilog とほとんど同じセマンティクスを持っています。もし SystemVerilog に慣れていれば、いくつかの例をみるだけで Veryl の構文をだいたい把握できるでしょう。 この小さな例では、コメントに SystemVerilog 構文との違いが書かれています。 module ModuleA ( // 識別子が先で `:` の後に型が来ます // ビット幅は `<>` で表されます i_data: input logic<10>, o_data: output logic<10>, // `begin`/`end` ではなく `{}` を使います\n) { assign o_data = i_data;\n} さらに、この章のコードブロックは編集することもできます。それぞれのコードを編集して実行してみましょう。 Veryl のソースコードは SystemVerilog と同様に、module、interface、package を持ちます。この章ではそれらの例を示します。","breadcrumbs":"コード例 » コード例","id":"36","title":"コード例"},"37":{"body":"// モジュール定義\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // 末尾カンマが可能です\n) ( i_clk : input clock , // `clock` はクロックのための特別な型です i_rst : input reset , // `reset` はリセットのための特別な型です i_sel : input logic , i_data: input logic [2], // `[]` は SystemVerilog のアンパック配列です o_data: output logic , // `<>` は SystemVerilog のパック配列です\n) { // ローカルパラメータ宣言 // モジュール内では `param` は使えません local ParamC: u32 = 10; // 変数宣言 var r_data0: logic; var r_data1: logic; // 値の束縛 let _w_data2: logic = i_data; // リセット付き always_ff 文 // `always_ff` はクロック(必須)とリセット(オプション)を持ちます // `if_reset` は `if (i_rst)` を意味し、リセット極性を隠蔽するための構文です // `if` 文に `()` はいりません // `always_ff` 内の `=` はノンブロッキング代入です always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // リセットなし always_ff 文 always_ff (i_clk) { r_data1 = r_data0; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » モジュール » モジュール","id":"37","title":"モジュール"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // インスタンス宣言 // インスタンス宣言は `inst` キーワードではじまります // ポート接続は `()` 内で指定します // 各ポートの接続は `[port_name]:[variable]` のような形式になります // `[port_name]` は `[port_name]:[port_name]` を意味します inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // パラメータオーバーライド付きインスタンス宣言 // パラメータの接続記法はポートと同様です inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » インスタンス » インスタンス","id":"38","title":"インスタンス"},"39":{"body":"// インターフェース定義\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport 定義 modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // modport によるポート宣言 intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // インターフェースのインスタンス inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » インターフェース » インターフェース","id":"39","title":"インターフェース"},"4":{"body":"この章ではVerylの特徴的な機能をわかりやすい例とともに紹介します。 リアルタイム診断 自動フォーマット 組み込みテスト 依存関係管理 ジェネリクス 末尾カンマ クロックとリセットの抽象化 ドキュメンテーションコメント always_ff での複合代入演算子 独立した名前空間を持つenumバリアント ビット連結における repeat if / case 式 範囲 for / inside / outside msb 記法 let 文 名前付きブロック 可視性制御","breadcrumbs":"特徴 » 特徴","id":"4","title":"特徴"},"40":{"body":"// パッケージ定義\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » パッケージ » パッケージ","id":"40","title":"パッケージ"},"41":{"body":"この章では Veryl の言語仕様について説明します。","breadcrumbs":"言語リファレンス » 言語リファレンス","id":"41","title":"言語リファレンス"},"42":{"body":"Veryl のソースコードはいくつかの module、interface、package からなります。 module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} トランスパイルされたコードにおける module、interface、package の名前には先頭にプロジェクト名が付きます。このサンプルコードでは project_ が付きます。これはプロジェクト間で名前が衝突するのを防ぐためです。","breadcrumbs":"Language Reference » ソースコードの構造 » ソースコードの構造","id":"42","title":"ソースコードの構造"},"43":{"body":"この章では Veryl の字句構造について説明します。まず始めに、全体的なことがらからです。","breadcrumbs":"Language Reference » 字句構造 » 字句構造","id":"43","title":"字句構造"},"44":{"body":"Veryl のソースコードは UTF-8 エンコーディングでなければなりません。","breadcrumbs":"Language Reference » 字句構造 » エンコーディング","id":"44","title":"エンコーディング"},"45":{"body":"(空白)、\\t、\\n は空白として扱われ、Veryl のパーサはこれらを全て無視します。","breadcrumbs":"Language Reference » 字句構造 » 空白","id":"45","title":"空白"},"46":{"body":"行コメントと複数行コメントが使えます。ほとんどのコメントはトランスパイルされたコードにも出力されます。 // 行コメント /*\n複数 行 コメント\n*/","breadcrumbs":"Language Reference » 字句構造 » コメント","id":"46","title":"コメント"},"47":{"body":"/// ではじまる行コメントはドキュメンテーションコメントとして扱われます。ドキュメンテーションコメントはドキュメントの生成に使われます。 /// ドキュメンテーションコメント","breadcrumbs":"Language Reference » 字句構造 » ドキュメンテーションコメント","id":"47","title":"ドキュメンテーションコメント"},"48":{"body":"識別子は ASCII のアルファベットと数値、 _ からなります。先頭が数値であってはなりません。正式な定義は以下の正規表現です。 [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » 字句構造 » 識別子","id":"48","title":"識別子"},"49":{"body":"\" で囲んだものが文字列になります。\\\" や \\n のように \\ によるエスケープも可能です。 \"Hello, World!\"","breadcrumbs":"Language Reference » 字句構造 » 文字列","id":"49","title":"文字列"},"5":{"body":"変数の未定義・未使用・未代入といった問題はエディタでの編集中にリアルタイムに通知されます。次の例では、未使用変数として通知された変数に _ プレフィックスを付加することで未使用であることを明示し、警告を抑制しています。 diagnostics ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » リアルタイム診断","id":"5","title":"リアルタイム診断"},"50":{"body":"ほとんどの演算子は SystemVerilog と同じです。いくつか違いがあるので注意してください。 <: 小なり演算子です。SystemVerilog の < と同じです。 >: 大なり演算子です。SystemVerilog の > と同じです。 // 単項算術演算\na = +1;\na = -1; // 単項論理演算\na = !1;\na = ~1; // 単項集約演算\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // 二項算術演算\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // シフト演算\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // 比較演算\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // ビット演算\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // 二項論理演算\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » 演算子 » 演算子","id":"50","title":"演算子"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 数値","id":"51","title":"数値"},"52":{"body":"// 整数\n0123456789\n01_23_45_67_89 // 2進数\n32'b01xzXZ\n32'b01_xz_XZ // 8進数\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // 10進数\n32'd0123456789\n32'd01_23_45_67_89 // 16進数\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 整数","id":"52","title":"整数"},"53":{"body":"// 全て 0\n'0 // 全て 1\n'1 // 全て x\n'x\n'X // 全て z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 全ビットのセット","id":"53","title":"全ビットのセット"},"54":{"body":"ビット幅指定は省略することができます。省略された場合、トランスパイルされたコードでは適切なビット幅が付与されます。 module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 幅なし整数","id":"54","title":"幅なし整数"},"55":{"body":"\"全ビットのセット\" にビット幅指定を付与することもできます。 module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 指定ビットのセット","id":"55","title":"指定ビットのセット"},"56":{"body":"// 浮動小数点数\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // 指数表記\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 浮動小数点数","id":"56","title":"浮動小数点数"},"57":{"body":"'{} は配列リテラルを表します。リテラル内には式、repeat キーワード、default キーワードを配置することができます。 module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » 配列リテラル » 配列リテラル","id":"57","title":"配列リテラル"},"58":{"body":"この章ではデータ型について説明します。","breadcrumbs":"Language Reference » データ型 » データ型","id":"58","title":"データ型"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 組み込み型","id":"59","title":"組み込み型"},"6":{"body":"エディタと連携した自動フォーマット機能のほか、コマンドラインでのフォーマットやCIでのフォーマットチェックも可能です。 format ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » 自動フォーマット","id":"6","title":"自動フォーマット"},"60":{"body":"logic は4値(0、1、x、z)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な4値データ型","id":"60","title":"幅指定可能な4値データ型"},"61":{"body":"bit は2値(0、1)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な2値データ型","id":"61","title":"幅指定可能な2値データ型"},"62":{"body":"整数型にはいくつかの種類があります。 u32:32ビットの符号なし整数 u64:64ビットの符号なし整数 i32:32ビットの符号付き整数 i64:64ビットの符号付き整数 module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 整数型","id":"62","title":"整数型"},"63":{"body":"浮動小数点数型にもいくつかの種類があります。 f32:32ビット浮動小数点数 f64:64ビット浮動小数点数 いずれも IEEE Std 754 準拠の表現です。 module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 浮動小数点数型","id":"63","title":"浮動小数点数型"},"64":{"body":"string は文字列を表す型です。 module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 文字列型","id":"64","title":"文字列型"},"65":{"body":"type は型の種類を表す型です。type 型の変数は param か local としてのみ定義可能です。 module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » Type型","id":"65","title":"Type型"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユーザ定義型","id":"66","title":"ユーザ定義型"},"67":{"body":"struct は複合データ型です。いくつかのフィールドを持つことができ、. 演算子を通してアクセスできます。 module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 構造体","id":"67","title":"構造体"},"68":{"body":"enum は列挙型です。名前の付いたバリアントを複数持ち、enum 型の変数にはそのバリアントのうち1つだけをセットできます。バリアント名は [enum name]::[variant name] の形式で指定可能です。それぞれのバリアントは対応する整数値を持ち、= で指定することができます。指定されなかった場合は自動的に割り当てられます。 module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 列挙型","id":"68","title":"列挙型"},"69":{"body":"union はパックされたタグなしの直和型で、SystemVerilog では packed union にトランスパイルされます。ユニオンのそれぞれのバリアントの幅は同じでなければなりません。 module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユニオン","id":"69","title":"ユニオン"},"7":{"body":"SystemVerilogで書かれたテストコードをVerylに埋め込み、veryl test コマンドで実行することができます。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"特徴 » 組み込みテスト","id":"7","title":"組み込みテスト"},"70":{"body":"type キーワードを使って、スカラー型や配列型への型エイリアスを定義することができます。 module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 型定義","id":"70","title":"型定義"},"71":{"body":"任意のデータ型に対して [] と付与することで配列を定義することができます。配列の長さは [] 内の値で指定します。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} [X, Y, Z,,,] のように多次元配列も定義できます。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » 配列 » 配列","id":"71","title":"配列"},"72":{"body":"clock はクロック配線を表す特別な型です。クロックの極性を指定するため以下の3種類があります。 clock: ビルド時の設定で指定される極性を持つクロック型 clock_posedge: 正極性のクロック型 clock_negedge: 負極性のクロック型 reset はリセット配線を表す特別な型です。リセットの極性と同期・非同期を指定するため以下の5種類があります。 reset: ビルド時の設定で指定される極性と同期性を持つリセット型 reset_async_high: 正極性の非同期リセット型 reset_async_low: 負極性の非同期リセット型 reset_sync_high: 正極性の同期リセット型 reset_sync_low: 負極性の同期リセット型 特別な要件がなければ、コードの再利用を高めるため clock と reset の使用を推奨します。 module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » クロックとリセット » クロックとリセット","id":"72","title":"クロックとリセット"},"73":{"body":"この章では式について説明します。式は変数や演算子、関数呼び出しなどを組み合わせたもので、評価して値を得ることができます。","breadcrumbs":"Language Reference » 式 » 式","id":"73","title":"式"},"74":{"body":"式内での演算子の優先順位は SystemVerilog とほとんど同じです。 演算子 結合性 優先順位 () [] :: . 左 高い + - ! ~ & ~& | ~| ^ ~^ ^~ (単項) 左 ** 左 * / % 左 + - (二項) 左 << >> <<< >>> 左 <: <= >: >= 左 == != === !== ==? !=? 左 & (二項) 左 ^ ~^ ^~ (二項) 左 |(二項) 左 && 左 || 左 = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= なし {} なし 低い","breadcrumbs":"Language Reference » Expression » 演算子の優先順位 » 演算子の優先順位","id":"74","title":"演算子の優先順位"},"75":{"body":"関数は function_name(argument) の形式で呼び出すことができます。$clog2 のような SystemVerilog のシステム関数も使えます。 module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » 関数呼び出し » 関数呼び出し","id":"75","title":"関数呼び出し"},"76":{"body":"{} はビット連結を表します。{} の中では repeat キーワードを使うことで指定されたオペランドを繰り返すこともできます。 module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » 連結 » 連結","id":"76","title":"連結"},"77":{"body":"if を用いた条件式を使えます。if キーワードの後に条件を示す節を置きますが、() で囲む必要はありません。if 式は常になんらかの値に評価される必要があるため else は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » if » if","id":"77","title":"if"},"78":{"body":"もう一つの条件式が case です。case は 式: 式 という形式の条件を複数持ちます。もし case キーワードの後の式と条件の左側の式が一致すれば、その条件の右側の式が返されます。default はそれ以外の条件が全て失敗したときに返される特別な条件です。case 式は常になんらかの値に評価される必要があるため default は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » case » case","id":"78","title":"case"},"79":{"body":"[] はビット選択演算子です。[] に式を指定すれば1ビットを選択できます。範囲選択する場合は [式:式] とします。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » ビット選択 » ビット選択","id":"79","title":"ビット選択"},"8":{"body":"Verylには依存関係の管理機能が組み込まれており、プロジェクト設定に以下のようにライブラリのリポジトリパスとバージョンを追加するだけで、簡単にライブラリを組み込むことができます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"特徴 » 依存関係管理","id":"8","title":"依存関係管理"},"80":{"body":"範囲は範囲演算子で指定できます。範囲演算子には以下の2種類があります。 ..:半開区間 ..=:閉区間 範囲は for 文などの場所で使うことができます。 module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » 範囲 » 範囲","id":"80","title":"範囲"},"81":{"body":"msb と lsb は [] によるビット選択で使用できます。msb はオペランドの最上位ビットを意味します。lsb はオペランドの最下位ビットを意味し、0と同じです。 module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » msb / lsb » msb / lsb","id":"81","title":"msb / lsb"},"82":{"body":"inside は 指定された式が {} で与えられた条件内にあるかどうかを調べます。条件は単一の式または 範囲 を指定できます。条件を満たすとき inside は 1 を、そうでなければ 0 を返します。outside はその逆です。 module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » inside / outside » inside / outside","id":"82","title":"inside / outside"},"83":{"body":"この章では文について説明します。文は always_ff や always_comb などいくつかの宣言で使用することができます。","breadcrumbs":"Language Reference » 文 » 文","id":"83","title":"文"},"84":{"body":"代入文は 変数 = 式; の形式です。SystemVerilog と異なり、always_comb でも always_ff でも代入演算子は = です。以下のような代入演算子もあります。 +=:加算代入 -=:減算代入 *=:乗算代入 /=:除算代入 %=:剰余代入 &=:ビットAND代入 |=:ビットOR代入 ^=:ビットXOR代入 <<=:論理左シフト代入 >>=:論理右シフト代入 <<<=:算術左シフト代入 >>>=:算術右シフト代入 module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » 代入 » 代入","id":"84","title":"代入"},"85":{"body":"関数呼び出しは文として使うこともできます。この場合、関数の戻り値は無視されます。 module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » 関数呼び出し » 関数呼び出し","id":"85","title":"関数呼び出し"},"86":{"body":"if は文として使うこともできます。if 式との違いは {} 内に文を書くことです。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » if » if","id":"86","title":"if"},"87":{"body":"case は文として使うこともできます。条件の右側は文になります。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » case » case","id":"87","title":"case"},"88":{"body":"for 文は繰り返しを表します。in キーワードの前にループ変数を、後に 範囲 を書きます。 break を使ってループを中断することもできます。 module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » for » for","id":"88","title":"for"},"89":{"body":"return 文は関数からの戻りを示します。return キーワードの後の式は関数の戻り値です。 module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » return » return","id":"89","title":"return"},"9":{"body":"ジェネリクスによるコード生成は従来のパラメータオーバーライドよりさらに再利用性の高いコードを記述することができます。以下の例のような関数のパラメータだけでなく、インスタンスのモジュール名や構造体定義の型名もパラメータ化することができます。 SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"特徴 » ジェネリクス","id":"9","title":"ジェネリクス"},"90":{"body":"let 文はある名前に値を束縛します。これは always_ff 、 always_comb および関数宣言の中で使うことができます。 let 文はブロック中のどこにでも置くことができます。 module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » let » let","id":"90","title":"let"},"91":{"body":"この章では宣言について説明します。","breadcrumbs":"Language Reference » 宣言 » 宣言","id":"91","title":"宣言"},"92":{"body":"変数宣言は var キーワードで始まり、変数名、:、変数の型と続きます。 未使用の変数は警告が発生します。_ で始まる変数名は未使用変数を意味し、警告を抑制します。 宣言時に名前に値を束縛する場合は var の代わりに let を使います。 module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » 変数 » 変数","id":"92","title":"変数"},"93":{"body":"パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。 module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » パラメータ » パラメータ","id":"93","title":"パラメータ"},"94":{"body":"レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。 if_reset は always_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml の [build] セクションで設定できます。 module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » レジスタ » レジスタ","id":"94","title":"レジスタ"},"95":{"body":"always_comb で代入される変数は組み合わせ回路を意味します。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » 組み合わせ回路 » 組み合わせ回路","id":"95","title":"組み合わせ回路"},"96":{"body":"assign 宣言で変数に式を代入することができます。 module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » assign » assign","id":"96","title":"assign"},"97":{"body":"関数は function キーワードで宣言できます。引数は () 内に書き、戻り値の型を -> の後に書きます。 関数が戻り値を持たない場合、-> は省略できます。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » 関数 » 関数","id":"97","title":"関数"},"98":{"body":"initial ブロック内の文はシミュレーション開始時に実行され、final は終了時です。どちらも論理合成では無視され、デバッグやアサーションに使うことができます。 module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » initial / final » initial / final","id":"98","title":"initial / final"},"99":{"body":"アトリビュートは変数宣言などいくつかの宣言に注釈を付けることができます。","breadcrumbs":"Language Reference » Declaration » アトリビュート » アトリビュート","id":"99","title":"アトリビュート"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.0},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":1.7320508075688772},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":1.7320508075688772}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":1,"docs":{"164":{"tf":2.23606797749979}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.4142135623730951},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.0},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.0}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.4142135623730951},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.4142135623730951},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.4142135623730951},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":1.7320508075688772}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":4.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.4142135623730951},"155":{"tf":1.7320508075688772},"156":{"tf":1.0},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":6,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"65":{"tf":2.449489742783178},"70":{"tf":2.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.4142135623730951},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":1.7320508075688772},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":27,"docs":{"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":2.23606797749979},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":6,"docs":{"28":{"tf":1.0},"29":{"tf":2.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":15,"docs":{"164":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":14,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"167":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.7320508075688772},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":11,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}},"t":{"df":13,"docs":{"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.449489742783178}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.7320508075688772},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.7320508075688772},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.7320508075688772},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":2.0}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":14,"docs":{"167":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":8,"docs":{"167":{"tf":4.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.7320508075688772},"155":{"tf":1.7320508075688772},"156":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":18,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":2.8284271247461903},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.23606797749979},"71":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.7320508075688772},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":2.0},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"96":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"128":{"tf":1.0}}},"df":0,"docs":{}}}}},"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"140":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"14":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"136":{"tf":1.0}}}},"df":0,"docs":{}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"122":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"121":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"139":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":2,"docs":{"138":{"tf":1.0},"156":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":1,"docs":{"65":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"123":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}}); \ No newline at end of file +Object.assign(window.search, {"doc_urls":["01_introduction.html#ハードウェア記述言語-veryl","01_introduction.html#最適化された構文","01_introduction.html#相互運用性","01_introduction.html#生産性","02_features.html#特徴","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#はじめに","03_getting_started/01_installation.html#インストール","03_getting_started/01_installation.html#要件","03_getting_started/01_installation.html#インストール方法の選択","03_getting_started/01_installation.html#バイナリのダウンロード","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#エディタ設定","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#そのほかのエディタ","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#プロジェクトを作る","03_getting_started/02_hello_world.html#コードを書く","03_getting_started/02_hello_world.html#ビルドする","04_code_examples.html#コード例","04_code_examples/01_module.html#モジュール","04_code_examples/02_instantiation.html#インスタンス","04_code_examples/03_interface.html#インターフェース","04_code_examples/04_package.html#パッケージ","05_language_reference.html#言語リファレンス","05_language_reference/01_source_code_structure.html#ソースコードの構造","05_language_reference/02_lexical_structure.html#字句構造","05_language_reference/02_lexical_structure.html#エンコーディング","05_language_reference/02_lexical_structure.html#空白","05_language_reference/02_lexical_structure.html#コメント","05_language_reference/02_lexical_structure.html#ドキュメンテーションコメント","05_language_reference/02_lexical_structure.html#識別子","05_language_reference/02_lexical_structure.html#文字列","05_language_reference/02_lexical_structure/01_operator.html#演算子","05_language_reference/02_lexical_structure/02_number.html#数値","05_language_reference/02_lexical_structure/02_number.html#整数","05_language_reference/02_lexical_structure/02_number.html#全ビットのセット","05_language_reference/02_lexical_structure/02_number.html#幅なし整数","05_language_reference/02_lexical_structure/02_number.html#指定ビットのセット","05_language_reference/02_lexical_structure/02_number.html#浮動小数点数","05_language_reference/02_lexical_structure/03_array_literal.html#配列リテラル","05_language_reference/03_data_type.html#データ型","05_language_reference/03_data_type/01_builtin_type.html#組み込み型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な4値データ型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な2値データ型","05_language_reference/03_data_type/01_builtin_type.html#整数型","05_language_reference/03_data_type/01_builtin_type.html#浮動小数点数型","05_language_reference/03_data_type/01_builtin_type.html#文字列型","05_language_reference/03_data_type/01_builtin_type.html#type型","05_language_reference/03_data_type/02_user_defined_type.html#ユーザ定義型","05_language_reference/03_data_type/02_user_defined_type.html#構造体","05_language_reference/03_data_type/02_user_defined_type.html#列挙型","05_language_reference/03_data_type/02_user_defined_type.html#ユニオン","05_language_reference/03_data_type/02_user_defined_type.html#型定義","05_language_reference/03_data_type/03_array.html#配列","05_language_reference/03_data_type/04_clock_reset.html#クロックとリセット","05_language_reference/04_expression.html#式","05_language_reference/04_expression/01_operator_precedence.html#演算子の優先順位","05_language_reference/04_expression/02_function_call.html#関数呼び出し","05_language_reference/04_expression/03_concatenation.html#連結","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#ビット選択","05_language_reference/04_expression/07_range.html#範囲","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#文","05_language_reference/05_statement/01_assignment.html#代入","05_language_reference/05_statement/02_function_call.html#関数呼び出し","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#宣言","05_language_reference/06_declaration/01_variable.html#変数","05_language_reference/06_declaration/02_parameter.html#パラメータ","05_language_reference/06_declaration/03_register.html#レジスタ","05_language_reference/06_declaration/04_combinational.html#組み合わせ回路","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#関数","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#アトリビュート","05_language_reference/06_declaration/08_attribute.html#sv-アトリビュート","05_language_reference/06_declaration/09_generate.html#生成","05_language_reference/06_declaration/10_instantiation.html#インスタンス","05_language_reference/06_declaration/11_named_block.html#名前付きブロック","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#モジュール","05_language_reference/08_interface.html#インターフェース","05_language_reference/09_package.html#パッケージ","05_language_reference/10_systemverilog_interoperation.html#systemverilogとの相互運用","05_language_reference/11_visibility.html#可視性","05_language_reference/12_foreign_language_integration.html#他言語組み込み","05_language_reference/12_foreign_language_integration.html#embed-宣言","05_language_reference/12_foreign_language_integration.html#include-宣言","05_language_reference/13_integrated_test.html#組み込みテスト","05_language_reference/14_generics.html#ジェネリクス","05_language_reference/14_generics.html#ジェネリック関数","05_language_reference/14_generics.html#ジェネリックモジュールインターフェース","05_language_reference/14_generics.html#ジェネリックパッケージ","05_language_reference/14_generics.html#ジェネリック構造体","06_development_environment.html#開発環境","06_development_environment/01_project_configuration.html#プロジェクト設定","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#依存関係","06_development_environment/02_dependencies.html#依存関係の使用","06_development_environment/02_dependencies.html#バージョン要求","06_development_environment/03_publish_project.html#プロジェクトを公開する","06_development_environment/03_publish_project.html#バージョンを上げる","06_development_environment/03_publish_project.html#設定","06_development_environment/04_directory_layout.html#ディレクトリ構成","06_development_environment/04_directory_layout.html#単一のソースディレクトリ","06_development_environment/04_directory_layout.html#単一のソースとターゲットディレクトリ","06_development_environment/04_directory_layout.html#マルチソースディレクトリ","06_development_environment/04_directory_layout.html#gitignore-について","06_development_environment/05_formatter.html#フォーマッタ","06_development_environment/06_linter.html#リンタ","06_development_environment/06_linter.html#lintnaming-セクション","06_development_environment/07_simulator.html#シミュレータ","06_development_environment/07_simulator.html#test-セクション","06_development_environment/07_simulator.html#testverilator-セクション","06_development_environment/07_simulator.html#testvcs-セクション","06_development_environment/07_simulator.html#testvivado-セクション","06_development_environment/08_language_server.html#言語サーバ","06_development_environment/09_compatibility.html#互換性","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#文字列パラメータ","06_development_environment/10_documentation.html#ドキュメンテーション","06_development_environment/11_github_action.html#github-action","07_appendix.html#補遺","07_appendix/01_formal_syntax.html#構文","07_appendix/02_semantic_error.html#セマンティックエラー","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":3,"breadcrumbs":1,"title":1},"1":{"body":0,"breadcrumbs":0,"title":0},"10":{"body":20,"breadcrumbs":0,"title":0},"100":{"body":13,"breadcrumbs":4,"title":1},"101":{"body":14,"breadcrumbs":3,"title":0},"102":{"body":36,"breadcrumbs":3,"title":0},"103":{"body":10,"breadcrumbs":3,"title":0},"104":{"body":35,"breadcrumbs":7,"title":2},"105":{"body":31,"breadcrumbs":2,"title":0},"106":{"body":27,"breadcrumbs":2,"title":0},"107":{"body":7,"breadcrumbs":2,"title":0},"108":{"body":17,"breadcrumbs":4,"title":1},"109":{"body":11,"breadcrumbs":2,"title":0},"11":{"body":35,"breadcrumbs":0,"title":0},"110":{"body":0,"breadcrumbs":2,"title":0},"111":{"body":13,"breadcrumbs":3,"title":1},"112":{"body":4,"breadcrumbs":3,"title":1},"113":{"body":25,"breadcrumbs":2,"title":0},"114":{"body":1,"breadcrumbs":2,"title":0},"115":{"body":15,"breadcrumbs":2,"title":0},"116":{"body":17,"breadcrumbs":2,"title":0},"117":{"body":15,"breadcrumbs":2,"title":0},"118":{"body":27,"breadcrumbs":2,"title":0},"119":{"body":0,"breadcrumbs":0,"title":0},"12":{"body":20,"breadcrumbs":0,"title":0},"120":{"body":21,"breadcrumbs":2,"title":0},"121":{"body":4,"breadcrumbs":3,"title":1},"122":{"body":2,"breadcrumbs":3,"title":1},"123":{"body":4,"breadcrumbs":3,"title":1},"124":{"body":9,"breadcrumbs":3,"title":1},"125":{"body":1,"breadcrumbs":3,"title":1},"126":{"body":10,"breadcrumbs":3,"title":1},"127":{"body":5,"breadcrumbs":3,"title":1},"128":{"body":1,"breadcrumbs":3,"title":1},"129":{"body":3,"breadcrumbs":3,"title":1},"13":{"body":20,"breadcrumbs":1,"title":1},"130":{"body":5,"breadcrumbs":3,"title":1},"131":{"body":5,"breadcrumbs":3,"title":1},"132":{"body":14,"breadcrumbs":3,"title":1},"133":{"body":8,"breadcrumbs":3,"title":1},"134":{"body":6,"breadcrumbs":3,"title":1},"135":{"body":6,"breadcrumbs":3,"title":1},"136":{"body":1,"breadcrumbs":3,"title":1},"137":{"body":1,"breadcrumbs":3,"title":1},"138":{"body":1,"breadcrumbs":3,"title":1},"139":{"body":1,"breadcrumbs":3,"title":1},"14":{"body":20,"breadcrumbs":1,"title":1},"140":{"body":1,"breadcrumbs":3,"title":1},"141":{"body":25,"breadcrumbs":2,"title":0},"142":{"body":26,"breadcrumbs":2,"title":0},"143":{"body":20,"breadcrumbs":2,"title":0},"144":{"body":54,"breadcrumbs":2,"title":0},"145":{"body":39,"breadcrumbs":2,"title":0},"146":{"body":16,"breadcrumbs":2,"title":0},"147":{"body":1,"breadcrumbs":2,"title":0},"148":{"body":36,"breadcrumbs":2,"title":0},"149":{"body":25,"breadcrumbs":2,"title":0},"15":{"body":17,"breadcrumbs":1,"title":1},"150":{"body":24,"breadcrumbs":2,"title":0},"151":{"body":7,"breadcrumbs":3,"title":1},"152":{"body":9,"breadcrumbs":2,"title":0},"153":{"body":9,"breadcrumbs":2,"title":0},"154":{"body":171,"breadcrumbs":3,"title":1},"155":{"body":14,"breadcrumbs":2,"title":0},"156":{"body":5,"breadcrumbs":3,"title":1},"157":{"body":4,"breadcrumbs":3,"title":1},"158":{"body":4,"breadcrumbs":3,"title":1},"159":{"body":7,"breadcrumbs":3,"title":1},"16":{"body":28,"breadcrumbs":1,"title":1},"160":{"body":4,"breadcrumbs":2,"title":0},"161":{"body":2,"breadcrumbs":2,"title":0},"162":{"body":0,"breadcrumbs":3,"title":1},"163":{"body":10,"breadcrumbs":2,"title":0},"164":{"body":75,"breadcrumbs":2,"title":0},"165":{"body":87,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":0,"title":0},"167":{"body":2247,"breadcrumbs":1,"title":0},"168":{"body":0,"breadcrumbs":1,"title":0},"169":{"body":0,"breadcrumbs":2,"title":1},"17":{"body":28,"breadcrumbs":2,"title":2},"170":{"body":0,"breadcrumbs":2,"title":1},"171":{"body":0,"breadcrumbs":2,"title":1},"172":{"body":0,"breadcrumbs":2,"title":1},"173":{"body":0,"breadcrumbs":2,"title":1},"174":{"body":0,"breadcrumbs":2,"title":1},"175":{"body":0,"breadcrumbs":2,"title":1},"176":{"body":0,"breadcrumbs":2,"title":1},"177":{"body":0,"breadcrumbs":2,"title":1},"178":{"body":0,"breadcrumbs":2,"title":1},"179":{"body":0,"breadcrumbs":2,"title":1},"18":{"body":18,"breadcrumbs":1,"title":1},"180":{"body":0,"breadcrumbs":2,"title":1},"181":{"body":0,"breadcrumbs":2,"title":1},"182":{"body":0,"breadcrumbs":2,"title":1},"183":{"body":0,"breadcrumbs":2,"title":1},"184":{"body":0,"breadcrumbs":2,"title":1},"185":{"body":0,"breadcrumbs":2,"title":1},"186":{"body":0,"breadcrumbs":2,"title":1},"187":{"body":0,"breadcrumbs":2,"title":1},"188":{"body":0,"breadcrumbs":2,"title":1},"189":{"body":0,"breadcrumbs":2,"title":1},"19":{"body":22,"breadcrumbs":0,"title":0},"190":{"body":0,"breadcrumbs":2,"title":1},"191":{"body":0,"breadcrumbs":2,"title":1},"192":{"body":0,"breadcrumbs":2,"title":1},"193":{"body":0,"breadcrumbs":2,"title":1},"2":{"body":0,"breadcrumbs":0,"title":0},"20":{"body":7,"breadcrumbs":0,"title":0},"21":{"body":14,"breadcrumbs":0,"title":0},"22":{"body":2,"breadcrumbs":0,"title":0},"23":{"body":3,"breadcrumbs":2,"title":0},"24":{"body":3,"breadcrumbs":2,"title":0},"25":{"body":0,"breadcrumbs":2,"title":0},"26":{"body":0,"breadcrumbs":2,"title":0},"27":{"body":6,"breadcrumbs":3,"title":1},"28":{"body":5,"breadcrumbs":2,"title":0},"29":{"body":11,"breadcrumbs":5,"title":3},"3":{"body":0,"breadcrumbs":0,"title":0},"30":{"body":7,"breadcrumbs":4,"title":2},"31":{"body":2,"breadcrumbs":2,"title":0},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":25,"breadcrumbs":4,"title":0},"34":{"body":20,"breadcrumbs":4,"title":0},"35":{"body":44,"breadcrumbs":4,"title":0},"36":{"body":20,"breadcrumbs":0,"title":0},"37":{"body":75,"breadcrumbs":2,"title":0},"38":{"body":78,"breadcrumbs":2,"title":0},"39":{"body":56,"breadcrumbs":2,"title":0},"4":{"body":7,"breadcrumbs":0,"title":0},"40":{"body":24,"breadcrumbs":2,"title":0},"41":{"body":1,"breadcrumbs":0,"title":0},"42":{"body":12,"breadcrumbs":2,"title":0},"43":{"body":1,"breadcrumbs":2,"title":0},"44":{"body":3,"breadcrumbs":2,"title":0},"45":{"body":2,"breadcrumbs":2,"title":0},"46":{"body":0,"breadcrumbs":2,"title":0},"47":{"body":0,"breadcrumbs":2,"title":0},"48":{"body":7,"breadcrumbs":2,"title":0},"49":{"body":3,"breadcrumbs":2,"title":0},"5":{"body":3,"breadcrumbs":0,"title":0},"50":{"body":68,"breadcrumbs":4,"title":0},"51":{"body":0,"breadcrumbs":4,"title":0},"52":{"body":14,"breadcrumbs":4,"title":0},"53":{"body":10,"breadcrumbs":4,"title":0},"54":{"body":18,"breadcrumbs":4,"title":0},"55":{"body":18,"breadcrumbs":4,"title":0},"56":{"body":5,"breadcrumbs":4,"title":0},"57":{"body":27,"breadcrumbs":4,"title":0},"58":{"body":0,"breadcrumbs":2,"title":0},"59":{"body":0,"breadcrumbs":4,"title":0},"6":{"body":2,"breadcrumbs":0,"title":0},"60":{"body":18,"breadcrumbs":5,"title":1},"61":{"body":18,"breadcrumbs":5,"title":1},"62":{"body":18,"breadcrumbs":4,"title":0},"63":{"body":13,"breadcrumbs":4,"title":0},"64":{"body":5,"breadcrumbs":4,"title":0},"65":{"body":17,"breadcrumbs":5,"title":1},"66":{"body":0,"breadcrumbs":4,"title":0},"67":{"body":22,"breadcrumbs":4,"title":0},"68":{"body":17,"breadcrumbs":4,"title":0},"69":{"body":24,"breadcrumbs":4,"title":0},"7":{"body":15,"breadcrumbs":0,"title":0},"70":{"body":15,"breadcrumbs":4,"title":0},"71":{"body":99,"breadcrumbs":4,"title":0},"72":{"body":68,"breadcrumbs":4,"title":0},"73":{"body":0,"breadcrumbs":2,"title":0},"74":{"body":1,"breadcrumbs":3,"title":0},"75":{"body":22,"breadcrumbs":3,"title":0},"76":{"body":20,"breadcrumbs":3,"title":0},"77":{"body":14,"breadcrumbs":3,"title":0},"78":{"body":26,"breadcrumbs":5,"title":1},"79":{"body":16,"breadcrumbs":3,"title":0},"8":{"body":4,"breadcrumbs":0,"title":0},"80":{"body":9,"breadcrumbs":3,"title":0},"81":{"body":18,"breadcrumbs":7,"title":2},"82":{"body":29,"breadcrumbs":7,"title":2},"83":{"body":2,"breadcrumbs":2,"title":0},"84":{"body":34,"breadcrumbs":3,"title":0},"85":{"body":5,"breadcrumbs":3,"title":0},"86":{"body":16,"breadcrumbs":3,"title":0},"87":{"body":26,"breadcrumbs":5,"title":1},"88":{"body":10,"breadcrumbs":3,"title":0},"89":{"body":9,"breadcrumbs":5,"title":1},"9":{"body":57,"breadcrumbs":0,"title":0},"90":{"body":35,"breadcrumbs":3,"title":0},"91":{"body":0,"breadcrumbs":2,"title":0},"92":{"body":33,"breadcrumbs":3,"title":0},"93":{"body":11,"breadcrumbs":3,"title":0},"94":{"body":43,"breadcrumbs":3,"title":0},"95":{"body":11,"breadcrumbs":3,"title":0},"96":{"body":7,"breadcrumbs":5,"title":1},"97":{"body":24,"breadcrumbs":3,"title":0},"98":{"body":8,"breadcrumbs":7,"title":2},"99":{"body":0,"breadcrumbs":3,"title":0}},"docs":{"0":{"body":"Veryl Veryl は SystemVerilog をベースに設計されたハードウェア記述言語であり、以下のような特徴があります。","breadcrumbs":"概要 » ハードウェア記述言語 Veryl","id":"0","title":"ハードウェア記述言語 Veryl"},"1":{"body":"Verylは、SystemVerilogの経験者にとって親しみやすい基本構文に基づきながら、論理設計に最適化された構文を採用しています。この最適化には、たとえば合成可能性の保証やシミュレーション結果の一致の保証、頻出する定型文を簡素化する多数の構文などの提供が含まれます。このアプローチにより、学習の容易さ、設計プロセスの信頼性と効率の向上、およびコードの記述の容易さが実現されます。","breadcrumbs":"概要 » 最適化された構文","id":"1","title":"最適化された構文"},"10":{"body":"末尾カンマは、リストの最後の要素の後ろにカンマが置かれる構文です。これにより、要素の追加や削除が容易になり、バージョン管理システムにおける不必要な差異を減らすことができます。 SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"特徴 » 末尾カンマ","id":"10","title":"末尾カンマ"},"100":{"body":"SV アトリビュートは SystemVerilog のアトリビュートを表し、(* *) という形式の SystemVerilog アトリビュートに変換されます。 module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » アトリビュート » SV アトリビュート","id":"100","title":"SV アトリビュート"},"101":{"body":"宣言や for や if を使って生成することができます。: で示すラベルは生成された複数の宣言を識別するのに必要です。 module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // else 句のラベルは省略可能 assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » 生成 » 生成","id":"101","title":"生成"},"102":{"body":"inst キーワードはモジュールやインターフェースのインスタンス化を表します。インスタンスの名前は inst の後に、インスタンスの型は : の後に書きます。#() でパラメータオーバーライドを、() でポート接続を表します。 module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // 名前によるパラメータ代入 paramB: 10, ) ( a , // 名前によるポート接続 bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » インスタンス » インスタンス","id":"102","title":"インスタンス"},"103":{"body":"{} ブロックにラベルを付けることができます。そのような名前付きブロックは独立した名前空間を持ちます。 module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » 名前付きブロック » 名前付きブロック","id":"103","title":"名前付きブロック"},"104":{"body":"import 宣言は他のパッケージからシンボルをインポートします。モジュール、インターフェース、パッケージの要素としてだけでなくトップレベルにも配置することができます。import 宣言の引数には package::* のようなワイルドカードパターンを使用することができます。 // ファイルスコープインポート\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export 宣言は宣言したパッケージからシンボルをエクスポートします。全てのシンボルをエクスポートするには export * を使用します。 package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"モジュールはソースコードの最上位コンポーネントの1つです。モジュールはオーバーライド可能なパラメータ、接続ポート、内部ロジックを持ちます。 オーバーライド可能なパラメータは #() 内で宣言できます。それぞれのパラメータ宣言は param キーワードで始まり、識別子、:、パラメータの型、デフォルト値で構成されます。 接続ポートは () 内で宣言できます。それぞれのポート宣言は識別子、:、ポートの方向、ポートの型で構成されます。利用可能なポート方向は以下の通りです。 input:入力ポート output:出力ポート inout:双方向ポート modport:インターフェースのmodport module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » モジュール » モジュール","id":"105","title":"モジュール"},"106":{"body":"インターフェースはソースコードの最上位コンポーネントの1つです。インターフェースはオーバーライド可能なパラメータ、インターフェース定義を持ちます。 オーバーライド可能なパラメータについてはモジュールと同じです。 インターフェース定義では modport を宣言することができます。modport はモジュールのポート宣言で、ポートを束ねて接続するために使うことができます。 interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » インターフェース » インターフェース","id":"106","title":"インターフェース"},"107":{"body":"パッケージはソースコードの最上位コンポーネントの1つです。パッケージはパラメータや関数などいくつかの宣言をまとめることができます。 パッケージ内の要素にアクセスするには、:: 記号を使って PackageA::ParamA のようにします。 package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » パッケージ » パッケージ","id":"107","title":"パッケージ"},"108":{"body":"SystemVerilogの要素にアクセスする場合は $sv 名前空間を使えます。例えば、SystemVerilogソースコードの \"ModuleA\" は $sv::ModuleA です。Veryl はこれらの要素が実際に存在するかどうかは確認しません。 module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilogとの相互運用 » SystemVerilogとの相互運用","id":"108","title":"SystemVerilogとの相互運用"},"109":{"body":"デフォルトではプロジェクトのトップレベルアイテム(モジュール、インターフェース、パッケージ)はプライベートです。プライベートとは他のプロジェクトから参照できないことを意味します。 pub キーワードによって他のプロジェクトから見えるように指定することができます。veryl doc コマンドはパブリックなアイテムの ドキュメント のみを生成します。 pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » 可視性 » 可視性","id":"109","title":"可視性"},"11":{"body":"クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。 さらに、明示的な clock と reset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。モジュール内にクロックとリセットが1つだけの場合、レジスタへの接続を省略することもできます。 SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff { if_reset { } else { } }\n}","breadcrumbs":"特徴 » クロックとリセットの抽象化","id":"11","title":"クロックとリセットの抽象化"},"110":{"body":"","breadcrumbs":"Language Reference » 他言語組み込み » 他言語組み込み","id":"110","title":"他言語組み込み"},"111":{"body":"embed 宣言により他言語をコードに埋め込むことができます。embed 宣言の第一引数は埋め込み方法です。以下の方法がサポートされています。 inline: コードをそのまま展開する コードブロックは lang{{{ で始まり、}}} で終わります。以下の lang 指示子がサポートされています。 sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » 他言語組み込み » embed 宣言","id":"111","title":"embed 宣言"},"112":{"body":"include 宣言により他言語のファイルを含めることができます。include 宣言の第一引数は embed 宣言と同じです。第二引数はソースコードからの相対ファイルパスです。 include(inline, \"module.sv\");","breadcrumbs":"Language Reference » 他言語組み込み » include 宣言","id":"112","title":"include 宣言"},"113":{"body":"組み込みテストは #[test(test_name)] アトリビュートでマークすることができます。マークされたブロックはテストとして認識され、 veryl test コマンドによって実行されます。ブロックのトップレベルモジュールはテスト名と同じでなければなりません。 $info、$warning、$error、$fatal システム関数によるメッセージは Veryl コンパイラにより実行ログとして表示されます。$error と $fatal の呼び出しはテストの失敗として扱われます。 以下の例では SystemVerilog のソースコードを embed 宣言で埋め込み、テストとしてマークしています。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} veryl test で使用される RTLシミュレータについては シミュレータ を参照してください。","breadcrumbs":"Language Reference » 組み込みテスト » 組み込みテスト","id":"113","title":"組み込みテスト"},"114":{"body":"ジェネリクスはパラメータオーバーライドでは実現できないアイテムのパラメータ化を可能にします。以下のアイテムがジェネリクスをサポートしています。 関数 モジュール インターフェース パッケージ 構造体 ユニオン それぞれのジェネリック定義はジェネリックパラメータ(T のような大文字1文字がよく使われます)を持ち、定義内で識別子や式として配置できます。ジェネリックパラメータはアイテムの識別子の後に ::<> を用いて宣言します。 ジェネリクスを使用するためには ::<> を用いて実パラメータを与えます。実パラメータとしては数値リテラルと :: で連結された識別子を使用することができます。 さらに、実パラメータはジェネリクス定義位置から参照できなければなりません。例えば、モジュール名はプロジェクト全体から参照できるので、実パラメータとして使用できます。一方、ローカルパラメータは多くの場合、実パラメータとして使用できません。これはローカルパラメータがジェネリクス定義位置からは参照できない場合に発生します。","breadcrumbs":"Language Reference » ジェネリクス » ジェネリクス","id":"114","title":"ジェネリクス"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック関数","id":"115","title":"ジェネリック関数"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックモジュール/インターフェース","id":"116","title":"ジェネリックモジュール/インターフェース"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックパッケージ","id":"117","title":"ジェネリックパッケージ"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // ローカルに定位された型が使用できています // これは `TypeA` が `StructA` の定義位置から参照できるためです var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック構造体","id":"118","title":"ジェネリック構造体"},"119":{"body":"この章ではプロジェクト設定や開発ツールなど開発環境について説明します。","breadcrumbs":"開発環境 » 開発環境","id":"119","title":"開発環境"},"12":{"body":"ドキュメンテーションコメントとしてモジュールの説明を書いておくとドキュメントを自動生成することができます。単なるテキストだけでなく、マークダウン形式や WaveDrom による波形記述を使用することができます。 SystemVerilog\nVeryl // コメント\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"特徴 » ドキュメンテーションコメント","id":"12","title":"ドキュメンテーションコメント"},"120":{"body":"[project] --- プロジェクト定義 name --- プロジェクトの名前 version --- プロジェクトのバージョン authors --- プロジェクトの作者 description --- プロジェクトの説明 license --- プロジェクトのライセンス repository --- プロジェクトのリポジトリの URL [build] --- ビルド設定 clock_type --- クロックタイプ reset_type --- リセットタイプ filelist_type --- ファイルリストのタイプ target --- 出力方法 implicit_parameter_types --- 暗黙のパラメータ型を有効にするかどうか omit_project_prefix --- プロジェクト名のプレフィックスを省略するかどうか strip_comments --- コメントを削除するかどうか [format] --- フォーマット設定 [lint] --- リント設定 [test] --- テスト設定 [publish] --- 公開設定 [dependencies] --- ライブラリの依存関係","breadcrumbs":"Development Environment » プロジェクト設定 » プロジェクト設定","id":"120","title":"プロジェクト設定"},"121":{"body":"Veryl.toml の最初のセクションは [project] です。name と version は必須です。","breadcrumbs":"Development Environment » プロジェクト設定 » [project] セクション","id":"121","title":"[project] セクション"},"122":{"body":"プロジェクト名は生成されるコードのプレフィックスに使われます。そのためプロジェクト名はアルファベットか _ で始まり、英数字と_ しか使ってはいけません。","breadcrumbs":"Development Environment » プロジェクト設定 » name フィールド","id":"122","title":"name フィールド"},"123":{"body":"プロジェクトのバージョンは セマンティックバージョニング に従います。バージョンは以下の3つの数字からなります。 メジャー -- 互換性のない変更時に上げる マイナー -- 互換性のある機能追加時に上げる バッチ -- 互換性のあるバグ修正時に上げる [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » version フィールド","id":"123","title":"version フィールド"},"124":{"body":"オプションの authors フィールドにはこのプロジェクトの作者である人や組織を配列にリストアップします。配列内の各文字列のフォーマットは自由です。名前のみ、Eメールアドレスのみ、名前と括弧で囲んだEメールアドレスといった形式がよく使われます。 [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » プロジェクト設定 » authors フィールド","id":"124","title":"authors フィールド"},"125":{"body":"description はプロジェクトの短い説明です。マークダウンではなくプレーンテキスト形式で書きます。","breadcrumbs":"Development Environment » プロジェクト設定 » description フィールド","id":"125","title":"description フィールド"},"126":{"body":"license フィールドはこのプロジェクトがどのライセンスで公開されているかを指定します。指定する文字列は SPDX 2.3 license expression に従ってください。 [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » license フィールド","id":"126","title":"license フィールド"},"127":{"body":"repository フィールドはプロジェクトのソースリポジトリへのURLです。 [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » プロジェクト設定 » repository フィールド","id":"127","title":"repository フィールド"},"128":{"body":"[build] セクションはコード生成の設定です。","breadcrumbs":"Development Environment » プロジェクト設定 » [build] セクション","id":"128","title":"[build] セクション"},"129":{"body":"clock_type フィールドはフリップフロップを駆動するクロックエッジを指定します。 posedge -- 立ち上がりエッジ negedge -- 立ち下がりエッジ","breadcrumbs":"Development Environment » プロジェクト設定 » clock_type フィールド","id":"129","title":"clock_type フィールド"},"13":{"body":"ノンブロッキング専用の代入演算子はなく、always_ff 内ではノンブロッキング代入が、 always_comb 内ではブロッキング代入が推論されます。そのため always_ff 内でも always_comb 内と同様に様々な複合代入演算子を使用することができます。 SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"特徴 » always_ff での複合代入演算子","id":"13","title":"always_ff での複合代入演算子"},"130":{"body":"reset_type フィールドはリセットの極性と同期性を指定します。 async_low -- 非同期・負極性 async_high -- 非同期・正極性 sync_low -- 同期・負極性 sync_high -- 同期・正極性","breadcrumbs":"Development Environment » プロジェクト設定 » reset_type フィールド","id":"130","title":"reset_type フィールド"},"131":{"body":"filelist_type フィールドはファイルリストのフォーマットを指定します。 absolute -- プレーンテキスト形式の絶対パスのリスト relative -- プレーンテキスト形式の相対パスのリスト flgen -- flgen 形式のファイルリスト","breadcrumbs":"Development Environment » プロジェクト設定 » filelist_type フィールド","id":"131","title":"filelist_type フィールド"},"132":{"body":"target フィールドはコードの生成先を指定します。 source -- ソースコードと同じディレクトリ directory -- 特定のディレクトリ bundle -- 特定のファイル directory あるいは bundle を指定する場合は、ターゲットパスを path キーで指定します。 [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » プロジェクト設定 » target フィールド","id":"132","title":"target フィールド"},"133":{"body":"implicit_parameter_types フィールドは生成コードの parameter 宣言で省略する型をリストアップします。いくつかのEDAツールでは特定の型(例えば string)を parameter 宣言で使うことができないためです。例えば string を指定する場合は以下のようにします。 [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » プロジェクト設定 » implicit_parameter_types フィールド","id":"133","title":"implicit_parameter_types フィールド"},"134":{"body":"omit_project_prefix が true のとき、モジュール・インターフェース・パッケージ名のプロジェクトプレフィックスは省略されます。この値はデフォルトで false です。 [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » プロジェクト設定 » omit_project_prefix フィールド","id":"134","title":"omit_project_prefix フィールド"},"135":{"body":"strip_comments が true のとき、コメント出力は省略されます。この値はデフォルトで false です。 [build]\nstrip_comments = true","breadcrumbs":"Development Environment » プロジェクト設定 » strip_comments フィールド","id":"135","title":"strip_comments フィールド"},"136":{"body":"[format] セクションはコードフォーマッターの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [format] セクション","id":"136","title":"[format] セクション"},"137":{"body":"[lint] セクションはリンタの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [lint] セクション","id":"137","title":"[lint] セクション"},"138":{"body":"[test] セクションはRTLシミュレータによるテストの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [test] セクション","id":"138","title":"[test] セクション"},"139":{"body":"[publish] セクションはプロジェクト公開の設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [publish] セクション","id":"139","title":"[publish] セクション"},"14":{"body":"enumのバリアントはenum毎に独立した名前空間を持っており意図しない名前の衝突を防ぐことができます。 SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"特徴 » 独立した名前空間を持つenumバリアント","id":"14","title":"独立した名前空間を持つenumバリアント"},"140":{"body":"[dependencies] セクションはライブラリの依存関係です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [dependencies] セクション","id":"140","title":"[dependencies] セクション"},"141":{"body":"他の Veryl プロジェクトへの依存関係をプロジェクトに追加したい場合、Veryl.toml に [dependencies] セクションを追加します。エントリの左辺は依存関係へのパス、右辺はバージョンです。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" デフォルトでは依存関係の名前空間はそのプロジェクト名と同じです。もし名前空間を指定したい場合は、 name フィールドを使います。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} 同じ依存関係の複数のバージョンを使う場合は、以下のようにそれぞれの名前を指定できます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » 依存関係 » 依存関係","id":"141","title":"依存関係"},"142":{"body":"Veryl.toml に依存関係を追加したあとは、その依存関係の module、interface、packageを使うことができます。以下は veryl_sample の依存関係に含まれる delay モジュールを使った例です。 module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} 注:上記のコードのプレイボタンの結果は依存関係解決を行わないので正確ではありません。実際のモジュール名は veryl_samlle_delay になります。","breadcrumbs":"Development Environment » 依存関係 » 依存関係の使用","id":"142","title":"依存関係の使用"},"143":{"body":"[dependencies] セクションの version フィールドはバージョン要求を示します。例えば、version = \"0.1.0\" は 0.1.0 と互換性のある最新バージョンを意味します。互換性は セマンティックバージョニング で判定されます。バージョンは以下の3つの部分からなります。 メジャー バージョンはAPI非互換な変更 マイナー バージョンは互換性のある機能追加 パッチ バージョンは互換性のあるバグ修正 もし メジャー バージョンが 0 なら、マイナー が非互換変更と解釈されます。 バージョン 0.1.0、0.1.1、0.2.0があった場合、0.1.1 が選択されます。これは以下のように決定されます。 0.1.0 は 0.1.0 と互換性がある 0.1.1 は 0.1.0 と互換性がある 0.2.0 は 0.1.0 と互換性がない 0.1.1 は互換性のある最新バージョン version フィールドは =0.1.0 のような指定も可能です。詳細は Rust のバージョン要求についてのドキュメントを参照してください。 Specifying Dependencies .","breadcrumbs":"Development Environment » 依存関係 » バージョン要求","id":"143","title":"バージョン要求"},"144":{"body":"プロジェクトを公開するには veryl publish コマンドを使います。公開とはバージョン番号とgitのリビジョンを紐づけることです。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish は以下のように公開されたバージョンの情報を含んだ Veryl.pub というファイルを生成します。 [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" Veryl.pub と生成した後、gitのadd、commit、pushを行えば公開手続きは完了です。gitブランチはデフォルトブランチでなければなりません。これは Veryl が Veryl.pub をデフォルトブランチから探すためです。 $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push Veryl.toml の [publish] セクションに publish_commit を設定して自動コミットを有効にすれば、gitのaddとcommitが自動で実行されます。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » プロジェクトを公開する","id":"144","title":"プロジェクトを公開する"},"145":{"body":"--bump オプションを使うと公開と同時にバージョンを上げることもできます。公開と同様に、Veryl.toml の[publish] セクションに bump_commit を設定すれば自動でcommitされます。 $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » バージョンを上げる","id":"145","title":"バージョンを上げる"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" 設定 設定値 デフォルト 説明 bump_commit ブーリアン false バージョンアップ後の自動コミット publish_commit ブーリアン false 公開後の自動コミット bump_commit_mesasge 文字列 \"chore: Bump version\" バージョンアップ後のコミットメッセージ publish_commit_mesasge 文字列 \"chore: Publish\" 公開後のコミットメッセージ","breadcrumbs":"Development Environment » プロジェクトを公開する » 設定","id":"146","title":"設定"},"147":{"body":"Veryl は任意のディレクトリ構成をサポートしています。これは独立したプロジェクトと他のプロジェクトに組み込まれたプロジェクトでは最適なディレクトリ構成が異なるためです。 この節ではいくつかのディレクトリ構成パターンを示します。","breadcrumbs":"Development Environment » ディレクトリ構成 » ディレクトリ構成","id":"147","title":"ディレクトリ構成"},"148":{"body":"このパターンでは全てのソースコードは src ディレクトリに配置されます。src 以下のサブディレクトリの構成は自由です。 $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl は全ての *.veryl ファイルを収集し、デフォルトではソースと同じディレクトリにコードを生成します。この挙動は以下の設定で明示することもできます。 [build]\ntarget = \"source\" veryl build を実行するとディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースディレクトリ","id":"148","title":"単一のソースディレクトリ"},"149":{"body":"生成されたコードを1つのディレクトリに入れたい場合、Veryl.toml の [build] セクションで target を以下のように設定します。 [build]\ntarget = {type = \"directory\", path = \"target\"} ディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースとターゲットディレクトリ","id":"149","title":"単一のソースとターゲットディレクトリ"},"15":{"body":"ビット連結における繰り返し記述として明示的な repeat 記法を採用し、 複雑な {} の組み合わせより可読性が向上しています。 SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"特徴 » ビット連結における repeat","id":"15","title":"ビット連結における repeat"},"150":{"body":"既存の SystemVerilog プロジェクトに Veryl のプロジェクトを組み込む場合、以下のような構成にすることもできます。 $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files 生成された prj.f は生成されたソースコードを全てリストアップしているので、既存の SystemVerilog ファイルリストと一緒に使うことができます。","breadcrumbs":"Development Environment » ディレクトリ構成 » マルチソースディレクトリ","id":"150","title":"マルチソースディレクトリ"},"151":{"body":"Veryl はデフォルトの .gitignore を提供しません。これはプロジェクト毎にどのファイルを無視する必要があるかが変わるためです。 .gitignore の候補としては以下が考えられます。 dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » ディレクトリ構成 » .gitignore について","id":"151","title":".gitignore について"},"152":{"body":"veryl fmt コマンドでソースコードをフォーマットできます。あるいは言語サーバの textDocument/formatting 要求によるフォーマットにも対応しています。 設定可能な項目は以下の通りです。これは Veryl.toml の [format] セクションで指定できます。 [format]\nindent_width = 4 設定 設定値 説明 indent_width 整数 インデントのスペース幅","breadcrumbs":"Development Environment » フォーマッタ » フォーマッタ","id":"152","title":"フォーマッタ"},"153":{"body":"veryl check あるいは veryl build でリントチェックができます。あるいは言語サーバはリアルタイムでのチェックを行います。 設定可能な項目は以下の通りです。これは Veryl.toml の [lint] セクションで指定できます。 [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » リンタ » リンタ","id":"153","title":"リンタ"},"154":{"body":"このセクションは命名規則の設定です。 設定 設定値 説明 case_enum ケースタイプ [1] enum のケーススタイル case_function ケースタイプ [1] function のケーススタイル case_instance ケースタイプ [1] インスタンスのケーススタイル case_interface ケースタイプ [1] interface のケーススタイル case_modport ケースタイプ [1] modport のケーススタイル case_module ケースタイプ [1] module のケーススタイル case_package ケースタイプ [1] package のケーススタイル case_parameter ケースタイプ [1] parameter のケーススタイル case_port_inout ケースタイプ [1] inout ポートのケーススタイル case_port_input ケースタイプ [1] input ポートのケーススタイル case_port_modport ケースタイプ [1] modport ポートのケーススタイル case_port_output ケースタイプ [1] output ポートのケーススタイル case_reg ケースタイプ [1] レジスタ変数 [2] のケーススタイル case_struct ケースタイプ [1] struct のケーススタイル case_wire ケースタイプ [1] ワイヤ変数 [3] のケーススタイル prefix_enum 文字列 enum のプレフィックス prefix_function 文字列 function のプレフィックス prefix_instance 文字列 インスタンスのプレフィックス prefix_interface 文字列 interface のプレフィックス prefix_modport 文字列 modport のプレフィックス prefix_module 文字列 module のプレフィックス prefix_package 文字列 package のプレフィックス prefix_parameter 文字列 parameter のプレフィックス prefix_port_inout 文字列 inout ポートのプレフィックス prefix_port_input 文字列 input ポートのプレフィックス prefix_port_modport 文字列 modport ポートのプレフィックス prefix_port_output 文字列 output ポートのプレフィックス prefix_reg 文字列 レジスタ変数 [2] のプレフィックス prefix_struct 文字列 struct のプレフィックス prefix_wire 文字列 ワイヤ変数 [3] のプレフィックス re_forbidden_enum 正規表現 [4] enum の禁止正規表現 re_forbidden_function 正規表現 [4] function の禁止正規表現 re_forbidden_instance 正規表現 [4] インスタンスの禁止正規表現 re_forbidden_interface 正規表現 [4] interface の禁止正規表現 re_forbidden_modport 正規表現 [4] modport の禁止正規表現 re_forbidden_module 正規表現 [4] module の禁止正規表現 re_forbidden_package 正規表現 [4] package の禁止正規表現 re_forbidden_parameter 正規表現 [4] parameter の禁止正規表現 re_forbidden_port_inout 正規表現 [4] inout ポートの禁止正規表現 re_forbidden_port_input 正規表現 [4] input ポートの禁止正規表現 re_forbidden_port_modport 正規表現 [4] modport ポートの禁止正規表現 re_forbidden_port_output 正規表現 [4] output ポートの禁止正規表現 re_forbidden_reg 正規表現 [4] レジスタ変数 [2] の禁止正規表現 re_forbidden_struct 正規表現 [4] struct の禁止正規表現 re_forbidden_wire 正規表現 [4] ワイヤ変数 [3] の禁止正規表現 re_required_enum 正規表現 [4] enum の必須正規表現 re_required_function 正規表現 [4] function の必須正規表現 re_required_instance 正規表現 [4] インスタンスの必須正規表現 re_required_interface 正規表現 [4] interface の必須正規表現 re_required_modport 正規表現 [4] modport の必須正規表現 re_required_module 正規表現 [4] module の必須正規表現 re_required_package 正規表現 [4] package の必須正規表現 re_required_parameter 正規表現 [4] parameter の必須正規表現 re_required_port_inout 正規表現 [4] inout ポートの必須正規表現 re_required_port_input 正規表現 [4] input ポートの必須正規表現 re_required_port_modport 正規表現 [4] modport ポートの必須正規表現 re_required_port_output 正規表現 [4] output ポートの必須正規表現 re_required_reg 正規表現 [4] レジスタ変数 [2] の必須正規表現 re_required_struct 正規表現 [4] struct の必須正規表現 re_required_wire 正規表現 [4] ワイヤ変数 [3] の必須正規表現 設定可能な値は以下です。 \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase \".*\"のような正規表現です。使用可能な構文は こちら . レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 ワイヤ変数とは always_comb で代入される変数です。合成フェーズでワイヤにマップされます。","breadcrumbs":"Development Environment » リンタ » [lint.naming] セクション","id":"154","title":"[lint.naming] セクション"},"155":{"body":"RTLシミュレータによるテストは veryl test で実行することができます。サポートされているシミュレータは以下の通りです。 Verilator Synopsys VCS AMD Vivado Simulator Verilatorはデフォルトのシミュレータです。Veryl.tomlやコマンドラインオプションでシミュレータが指定されていない場合に使用されます。 設定可能な項目は以下の通りです。これは Veryl.toml の [test] セクションで指定できます。 [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » シミュレータ » シミュレータ","id":"155","title":"シミュレータ"},"156":{"body":"このセクションはテストの設定です。 設定 設定値 説明 simulator シミュレータ名 [1] デフォルトのシミュレータ 設定可能な値は以下です。 \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » シミュレータ » [test] セクション","id":"156","title":"[test] セクション"},"157":{"body":"このセクションはVerilatorによるテストの設定です。 設定 設定値 説明 compile_args [文字列] verilator コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.verilator] セクション","id":"157","title":"[test.verilator] セクション"},"158":{"body":"このセクションはVCSによるテストの設定です。 設定 設定値 説明 compile_args [文字列] vcs コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vcs] セクション","id":"158","title":"[test.vcs] セクション"},"159":{"body":"このセクションはVivadoによるテストの設定です。 設定 設定値 説明 compile_args [文字列] xvlog コマンドへの追加の引数 elaborate_args [文字列] xelab コマンドへの追加の引数 simulate_args [文字列] xsim コマンドへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vivado] セクション","id":"159","title":"[test.vivado] セクション"},"16":{"body":"三項演算子の代わりに if 式と case 式を採用することで、比較するアイテム数が多い場合の可読性が向上します。 SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"特徴 » if / case 式","id":"16","title":"if / case 式"},"160":{"body":"veryl-ls は言語サーバのバイナリです。使用するにはエディタの設定やプラグインが必要です。 設定可能な項目は以下の通りです。これは各エディタの設定から指定できます。 設定 設定値 デフォルト 説明 useOperatorCompletion ブーリアン false 演算子(例 '>:', '>>')の補完を有効にする","breadcrumbs":"Development Environment » 言語サーバ » 言語サーバ","id":"160","title":"言語サーバ"},"161":{"body":"いくつかのツールはサポートしていない SystemVerilog 構文があります。これをサポートするために、 Veryl.toml の設定でコード生成をカスタマイズすることができます。","breadcrumbs":"Development Environment » 互換性 » 互換性","id":"161","title":"互換性"},"162":{"body":"","breadcrumbs":"Development Environment » 互換性 » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivadoは string 型の parameter をサポートしていません。 parameter string a = \"A\"; その場合は implicit_parameter_types を設定してください。 [build]\nimplicit_parameter_types = [\"string\"] 設定すると生成コードは以下のようになります。 parameter a = \"A\";","breadcrumbs":"Development Environment » 互換性 » 文字列パラメータ","id":"163","title":"文字列パラメータ"},"164":{"body":"プロジェクトのドキュメントは veryl doc コマンドで生成することができます。全てのパブリックなモジュールとインターフェース、パッケージがリストアップされます。(参照 可視性 ) 詳細な説明を書きたい場合はドキュメンテーションコメントを追加することもできます。ドキュメンテーションコメントでは マークダウン 記法を使えます。 WaveDrom による波形記述もサポートされています。wavedrom コードブロック内で、WaveDromの構文を記述することができます。構文の詳細は チュートリアル を参照してください。 /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} 設定可能な項目は以下の通りです。これは Veryl.toml の [doc] セクションで指定できます。 [doc]\npath = \"document\" 設定 設定値 デフォルト 説明 path 文字列 \"doc\" 出力ディレクトリへのパス","breadcrumbs":"Development Environment » ドキュメンテーション » ドキュメンテーション","id":"164","title":"ドキュメンテーション"},"165":{"body":"ビルド済みのVerylバイナリをダウンロードするための公式GitHub actionが提供されています。 https://github.com/marketplace/actions/setup-veryl GitHub actionスクリプトの例は以下の通りです。 フォーマットとビルドチェック name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check GitHub Pagesからドキュメントを公開する name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Verilator によるテスト このために GitHub action veryl-lang/setup-verilator を公開しています。 name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"補遺 » 補遺","id":"166","title":"補遺"},"167":{"body":"Veryl のパーサはパーサジェネレータ parol を使っています。以下の parol の構文定義が正式な構文です。 %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » 構文 » 構文","id":"167","title":"構文"},"168":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » セマンティックエラー","id":"168","title":"セマンティックエラー"},"169":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"閉区間 ..= と半開区間 .. を表す記法を導入し、 for 、inside で範囲を統一的に記述できるようにしました。また、inside の逆を意味する outside も導入しました。 SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"特徴 » 範囲 for / inside / outside","id":"17","title":"範囲 for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"最上位ビットを示す msb 記法により、パラメータから最上位ビットを計算する必要がなくなり、より意図を明確にすることができます。 SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"特徴 » msb 記法","id":"18","title":"msb 記法"},"180":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"変数宣言と同時に値を束縛する専用の let 文が用意されており、SystemVerilogではサポートされていなかった様々な場所で使用することができます。 SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"特徴 » let 文","id":"19","title":"let 文"},"190":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"VerylはSystemVerilogとの相互運用性を考慮して設計されており、既存のSystemVerilogコンポーネントやプロジェクトとの組み合わせや部分的な置き換えをスムーズに行うことができます。さらに、VerylからトランスパイルされたSystemVerilogソースコードは、その高い可読性により、シームレスな統合やデバッグを可能にします。","breadcrumbs":"概要 » 相互運用性","id":"2","title":"相互運用性"},"20":{"body":"変数のスコープを限定するための名前付きブロックを定義することができます。 SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"特徴 » 名前付きブロック","id":"20","title":"名前付きブロック"},"21":{"body":"pub キーワードの付かないモジュールはプロジェクト外から参照できず、ドキュメントの自動生成にも含まれません。これによりプロジェクト外に公開したいものと内部実装とを区別することができます。 SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} いくつかのブラウザはデフォルトでGIF動画の再生を停止しています。ブラウザの設定を確認してください。","breadcrumbs":"特徴 » 可視性制御","id":"21","title":"可視性制御"},"22":{"body":"Veryl を使ってみましょう。この章では Veryl のインストール、サンプルプロジェクトの作成とビルドまでを行います。","breadcrumbs":"はじめに » はじめに","id":"22","title":"はじめに"},"23":{"body":"Veryl バイナリをダウンロードしてインストールできます。もし Rust ユーザであれば cargo コマンドでインストールすることもできます。","breadcrumbs":"Getting Started » インストール » インストール","id":"23","title":"インストール"},"24":{"body":"Veryl は git コマンドを内部で使用します。 git が起動できることを確認しておいてください。","breadcrumbs":"Getting Started » インストール » 要件","id":"24","title":"要件"},"25":{"body":"","breadcrumbs":"Getting Started » インストール » インストール方法の選択","id":"25","title":"インストール方法の選択"},"26":{"body":"リリースページ からダウンロードして、パスの通ったところに展開してください。","breadcrumbs":"Getting Started » インストール » バイナリのダウンロード","id":"26","title":"バイナリのダウンロード"},"27":{"body":"cargo コマンドからインストールすることもできます。 cargo install veryl veryl-ls","breadcrumbs":"Getting Started » インストール » Cargo","id":"27","title":"Cargo"},"28":{"body":"公式には Visual Studio Code と Vim / Neovim がサポートされています。","breadcrumbs":"Getting Started » インストール » エディタ設定","id":"28","title":"エディタ設定"},"29":{"body":"Visual Studio Code 向けに Veryl 拡張が提供されています。拡張はファイルタイプの検出とシンタックスハイライト、言語サーバの組み込みを提供します。拡張パネルから \"Veryl\" で検索するか、以下の URL からインストールしてください。 Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » インストール » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Verylはパッケージマネージャ、ビルドツール、そしてVSCode、Vim、Emacsなどの主要なエディタに対応するリアルタイムチェッカー、自動補完機能、自動フォーマッタなど、豊富な開発支援ツールを備えています。これらのツールは、開発プロセスを加速し、生産性を大幅に向上させることができます。 これらの特性により、Verylは設計者が高品質なハードウェア設計をより効率的かつ生産的に行うための強力なサポートを提供します。","breadcrumbs":"概要 » 生産性","id":"3","title":"生産性"},"30":{"body":"Vim / Neovim 向けに Veryl プラグインが提供されています。プラグインはファイルタイプの検出とシンタックスハイライトを提供します。プラグインのインストールと言語サーバの組み込みは以下の URL を参照してください。 Vim / Neovim plugin","breadcrumbs":"Getting Started » インストール » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl は言語サーバを提供しているので、言語サーバをサポートしているエディタ(例えば Emacs)であれば利用できます。","breadcrumbs":"Getting Started » インストール » そのほかのエディタ","id":"31","title":"そのほかのエディタ"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"まず始めに、新しい Veryl プロジェクトを作りましょう。 veryl new hello コマンドを実行すると、以下のようなディレクトリとファイルが作成されます。 $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml はプロジェクトの設定ファイルです。 [project]\nname = \"hello\"\nversion = \"0.1.0\" 全設定の説明は こちら 。","breadcrumbs":"Getting Started » Hello, World! » プロジェクトを作る","id":"33","title":"プロジェクトを作る"},"34":{"body":"ソースコードはプロジェクトディレクトリ内のどこに書いても構いません。これは Veryl プロジェクトが独立したプロジェクトである場合もあれば、他のSystemVerilog プロジェクトに組み込まれている場合もあるからです。Veryl のソースコードの拡張子は .veryl です。 例えば以下のコードを src/hello.veryl に書いてみましょう。 module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files 注:この本のいくつかのソースコードには、マウスをホバーすると現れるプレイボタン \"▶\" があります。ボタンをクリックすると、トランスパイルされた SystemVerilog のコードが現れます。module ModuleA のコードのボタンを押してみましょう。","breadcrumbs":"Getting Started » Hello, World! » コードを書く","id":"34","title":"コードを書く"},"35":{"body":"veryl build コマンドで SystemVerilog のソースコードを生成できます。 $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files デフォルトでは SystemVerilog のコードは Veryl のコードと同じディレクトリに生成されます。つまり src/hello.sv です。 module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule さらに、生成されたコードのファイルリスト hello.f も生成されます。これは SystemVerilog コンパイラで使用できます。 Verilator で使用するには以下のようにします。 $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » ビルドする","id":"35","title":"ビルドする"},"36":{"body":"Veryl は SystemVerilog とほとんど同じセマンティクスを持っています。もし SystemVerilog に慣れていれば、いくつかの例をみるだけで Veryl の構文をだいたい把握できるでしょう。 この小さな例では、コメントに SystemVerilog 構文との違いが書かれています。 module ModuleA ( // 識別子が先で `:` の後に型が来ます // ビット幅は `<>` で表されます i_data: input logic<10>, o_data: output logic<10>, // `begin`/`end` ではなく `{}` を使います\n) { assign o_data = i_data;\n} さらに、この章のコードブロックは編集することもできます。それぞれのコードを編集して実行してみましょう。 Veryl のソースコードは SystemVerilog と同様に、module、interface、package を持ちます。この章ではそれらの例を示します。","breadcrumbs":"コード例 » コード例","id":"36","title":"コード例"},"37":{"body":"// モジュール定義\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // 末尾カンマが可能です\n) ( i_clk : input clock , // `clock` はクロックのための特別な型です i_rst : input reset , // `reset` はリセットのための特別な型です i_sel : input logic , i_data: input logic [2], // `[]` は SystemVerilog のアンパック配列です o_data: output logic , // `<>` は SystemVerilog のパック配列です\n) { // ローカルパラメータ宣言 // モジュール内では `param` は使えません local ParamC: u32 = 10; // 変数宣言 var r_data0: logic; var r_data1: logic; var r_data2: logic; // 値の束縛 let _w_data2: logic = i_data; // リセット付き always_ff 文 // `always_ff` はクロック(必須)とリセット(オプション)を持ちます // `if_reset` は `if (i_rst)` を意味し、リセット極性を隠蔽するための構文です // `if` 文に `()` はいりません // `always_ff` 内の `=` はノンブロッキング代入です always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // リセットなし always_ff 文 always_ff (i_clk) { r_data1 = r_data0; } // モジュール内にクロックとリセットが1つしかない場合 // クロックとリセットの指定は省略できます always_ff { r_data2 = r_data1; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » モジュール » モジュール","id":"37","title":"モジュール"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // インスタンス宣言 // インスタンス宣言は `inst` キーワードではじまります // ポート接続は `()` 内で指定します // 各ポートの接続は `[port_name]:[variable]` のような形式になります // `[port_name]` は `[port_name]:[port_name]` を意味します inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // パラメータオーバーライド付きインスタンス宣言 // パラメータの接続記法はポートと同様です inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » インスタンス » インスタンス","id":"38","title":"インスタンス"},"39":{"body":"// インターフェース定義\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport 定義 modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // modport によるポート宣言 intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // インターフェースのインスタンス inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » インターフェース » インターフェース","id":"39","title":"インターフェース"},"4":{"body":"この章ではVerylの特徴的な機能をわかりやすい例とともに紹介します。 リアルタイム診断 自動フォーマット 組み込みテスト 依存関係管理 ジェネリクス 末尾カンマ クロックとリセットの抽象化 ドキュメンテーションコメント always_ff での複合代入演算子 独立した名前空間を持つenumバリアント ビット連結における repeat if / case 式 範囲 for / inside / outside msb 記法 let 文 名前付きブロック 可視性制御","breadcrumbs":"特徴 » 特徴","id":"4","title":"特徴"},"40":{"body":"// パッケージ定義\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » パッケージ » パッケージ","id":"40","title":"パッケージ"},"41":{"body":"この章では Veryl の言語仕様について説明します。","breadcrumbs":"言語リファレンス » 言語リファレンス","id":"41","title":"言語リファレンス"},"42":{"body":"Veryl のソースコードはいくつかの module、interface、package からなります。 module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} トランスパイルされたコードにおける module、interface、package の名前には先頭にプロジェクト名が付きます。このサンプルコードでは project_ が付きます。これはプロジェクト間で名前が衝突するのを防ぐためです。","breadcrumbs":"Language Reference » ソースコードの構造 » ソースコードの構造","id":"42","title":"ソースコードの構造"},"43":{"body":"この章では Veryl の字句構造について説明します。まず始めに、全体的なことがらからです。","breadcrumbs":"Language Reference » 字句構造 » 字句構造","id":"43","title":"字句構造"},"44":{"body":"Veryl のソースコードは UTF-8 エンコーディングでなければなりません。","breadcrumbs":"Language Reference » 字句構造 » エンコーディング","id":"44","title":"エンコーディング"},"45":{"body":"(空白)、\\t、\\n は空白として扱われ、Veryl のパーサはこれらを全て無視します。","breadcrumbs":"Language Reference » 字句構造 » 空白","id":"45","title":"空白"},"46":{"body":"行コメントと複数行コメントが使えます。ほとんどのコメントはトランスパイルされたコードにも出力されます。 // 行コメント /*\n複数 行 コメント\n*/","breadcrumbs":"Language Reference » 字句構造 » コメント","id":"46","title":"コメント"},"47":{"body":"/// ではじまる行コメントはドキュメンテーションコメントとして扱われます。ドキュメンテーションコメントはドキュメントの生成に使われます。 /// ドキュメンテーションコメント","breadcrumbs":"Language Reference » 字句構造 » ドキュメンテーションコメント","id":"47","title":"ドキュメンテーションコメント"},"48":{"body":"識別子は ASCII のアルファベットと数値、 _ からなります。先頭が数値であってはなりません。正式な定義は以下の正規表現です。 [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » 字句構造 » 識別子","id":"48","title":"識別子"},"49":{"body":"\" で囲んだものが文字列になります。\\\" や \\n のように \\ によるエスケープも可能です。 \"Hello, World!\"","breadcrumbs":"Language Reference » 字句構造 » 文字列","id":"49","title":"文字列"},"5":{"body":"変数の未定義・未使用・未代入といった問題はエディタでの編集中にリアルタイムに通知されます。次の例では、未使用変数として通知された変数に _ プレフィックスを付加することで未使用であることを明示し、警告を抑制しています。 diagnostics ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » リアルタイム診断","id":"5","title":"リアルタイム診断"},"50":{"body":"ほとんどの演算子は SystemVerilog と同じです。いくつか違いがあるので注意してください。 <: 小なり演算子です。SystemVerilog の < と同じです。 >: 大なり演算子です。SystemVerilog の > と同じです。 // 単項算術演算\na = +1;\na = -1; // 単項論理演算\na = !1;\na = ~1; // 単項集約演算\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // 二項算術演算\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // シフト演算\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // 比較演算\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // ビット演算\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // 二項論理演算\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » 演算子 » 演算子","id":"50","title":"演算子"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 数値","id":"51","title":"数値"},"52":{"body":"// 整数\n0123456789\n01_23_45_67_89 // 2進数\n32'b01xzXZ\n32'b01_xz_XZ // 8進数\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // 10進数\n32'd0123456789\n32'd01_23_45_67_89 // 16進数\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 整数","id":"52","title":"整数"},"53":{"body":"// 全て 0\n'0 // 全て 1\n'1 // 全て x\n'x\n'X // 全て z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 全ビットのセット","id":"53","title":"全ビットのセット"},"54":{"body":"ビット幅指定は省略することができます。省略された場合、トランスパイルされたコードでは適切なビット幅が付与されます。 module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 幅なし整数","id":"54","title":"幅なし整数"},"55":{"body":"\"全ビットのセット\" にビット幅指定を付与することもできます。 module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 指定ビットのセット","id":"55","title":"指定ビットのセット"},"56":{"body":"// 浮動小数点数\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // 指数表記\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 浮動小数点数","id":"56","title":"浮動小数点数"},"57":{"body":"'{} は配列リテラルを表します。リテラル内には式、repeat キーワード、default キーワードを配置することができます。 module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » 配列リテラル » 配列リテラル","id":"57","title":"配列リテラル"},"58":{"body":"この章ではデータ型について説明します。","breadcrumbs":"Language Reference » データ型 » データ型","id":"58","title":"データ型"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 組み込み型","id":"59","title":"組み込み型"},"6":{"body":"エディタと連携した自動フォーマット機能のほか、コマンドラインでのフォーマットやCIでのフォーマットチェックも可能です。 format ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » 自動フォーマット","id":"6","title":"自動フォーマット"},"60":{"body":"logic は4値(0、1、x、z)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な4値データ型","id":"60","title":"幅指定可能な4値データ型"},"61":{"body":"bit は2値(0、1)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な2値データ型","id":"61","title":"幅指定可能な2値データ型"},"62":{"body":"整数型にはいくつかの種類があります。 u32:32ビットの符号なし整数 u64:64ビットの符号なし整数 i32:32ビットの符号付き整数 i64:64ビットの符号付き整数 module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 整数型","id":"62","title":"整数型"},"63":{"body":"浮動小数点数型にもいくつかの種類があります。 f32:32ビット浮動小数点数 f64:64ビット浮動小数点数 いずれも IEEE Std 754 準拠の表現です。 module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 浮動小数点数型","id":"63","title":"浮動小数点数型"},"64":{"body":"string は文字列を表す型です。 module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 文字列型","id":"64","title":"文字列型"},"65":{"body":"type は型の種類を表す型です。type 型の変数は param か local としてのみ定義可能です。 module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » Type型","id":"65","title":"Type型"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユーザ定義型","id":"66","title":"ユーザ定義型"},"67":{"body":"struct は複合データ型です。いくつかのフィールドを持つことができ、. 演算子を通してアクセスできます。 module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 構造体","id":"67","title":"構造体"},"68":{"body":"enum は列挙型です。名前の付いたバリアントを複数持ち、enum 型の変数にはそのバリアントのうち1つだけをセットできます。バリアント名は [enum name]::[variant name] の形式で指定可能です。それぞれのバリアントは対応する整数値を持ち、= で指定することができます。指定されなかった場合は自動的に割り当てられます。 module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 列挙型","id":"68","title":"列挙型"},"69":{"body":"union はパックされたタグなしの直和型で、SystemVerilog では packed union にトランスパイルされます。ユニオンのそれぞれのバリアントの幅は同じでなければなりません。 module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユニオン","id":"69","title":"ユニオン"},"7":{"body":"SystemVerilogで書かれたテストコードをVerylに埋め込み、veryl test コマンドで実行することができます。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"特徴 » 組み込みテスト","id":"7","title":"組み込みテスト"},"70":{"body":"type キーワードを使って、スカラー型や配列型への型エイリアスを定義することができます。 module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 型定義","id":"70","title":"型定義"},"71":{"body":"任意のデータ型に対して [] と付与することで配列を定義することができます。配列の長さは [] 内の値で指定します。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} [X, Y, Z,,,] のように多次元配列も定義できます。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » 配列 » 配列","id":"71","title":"配列"},"72":{"body":"clock はクロック配線を表す特別な型です。クロックの極性を指定するため以下の3種類があります。 clock: ビルド時の設定で指定される極性を持つクロック型 clock_posedge: 正極性のクロック型 clock_negedge: 負極性のクロック型 reset はリセット配線を表す特別な型です。リセットの極性と同期・非同期を指定するため以下の5種類があります。 reset: ビルド時の設定で指定される極性と同期性を持つリセット型 reset_async_high: 正極性の非同期リセット型 reset_async_low: 負極性の非同期リセット型 reset_sync_high: 正極性の同期リセット型 reset_sync_low: 負極性の同期リセット型 特別な要件がなければ、コードの再利用を高めるため clock と reset の使用を推奨します。 module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » クロックとリセット » クロックとリセット","id":"72","title":"クロックとリセット"},"73":{"body":"この章では式について説明します。式は変数や演算子、関数呼び出しなどを組み合わせたもので、評価して値を得ることができます。","breadcrumbs":"Language Reference » 式 » 式","id":"73","title":"式"},"74":{"body":"式内での演算子の優先順位は SystemVerilog とほとんど同じです。 演算子 結合性 優先順位 () [] :: . 左 高い + - ! ~ & ~& | ~| ^ ~^ ^~ (単項) 左 ** 左 * / % 左 + - (二項) 左 << >> <<< >>> 左 <: <= >: >= 左 == != === !== ==? !=? 左 & (二項) 左 ^ ~^ ^~ (二項) 左 |(二項) 左 && 左 || 左 = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= なし {} なし 低い","breadcrumbs":"Language Reference » Expression » 演算子の優先順位 » 演算子の優先順位","id":"74","title":"演算子の優先順位"},"75":{"body":"関数は function_name(argument) の形式で呼び出すことができます。$clog2 のような SystemVerilog のシステム関数も使えます。 module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » 関数呼び出し » 関数呼び出し","id":"75","title":"関数呼び出し"},"76":{"body":"{} はビット連結を表します。{} の中では repeat キーワードを使うことで指定されたオペランドを繰り返すこともできます。 module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » 連結 » 連結","id":"76","title":"連結"},"77":{"body":"if を用いた条件式を使えます。if キーワードの後に条件を示す節を置きますが、() で囲む必要はありません。if 式は常になんらかの値に評価される必要があるため else は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » if » if","id":"77","title":"if"},"78":{"body":"もう一つの条件式が case です。case は 式: 式 という形式の条件を複数持ちます。もし case キーワードの後の式と条件の左側の式が一致すれば、その条件の右側の式が返されます。default はそれ以外の条件が全て失敗したときに返される特別な条件です。case 式は常になんらかの値に評価される必要があるため default は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » case » case","id":"78","title":"case"},"79":{"body":"[] はビット選択演算子です。[] に式を指定すれば1ビットを選択できます。範囲選択する場合は [式:式] とします。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » ビット選択 » ビット選択","id":"79","title":"ビット選択"},"8":{"body":"Verylには依存関係の管理機能が組み込まれており、プロジェクト設定に以下のようにライブラリのリポジトリパスとバージョンを追加するだけで、簡単にライブラリを組み込むことができます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"特徴 » 依存関係管理","id":"8","title":"依存関係管理"},"80":{"body":"範囲は範囲演算子で指定できます。範囲演算子には以下の2種類があります。 ..:半開区間 ..=:閉区間 範囲は for 文などの場所で使うことができます。 module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » 範囲 » 範囲","id":"80","title":"範囲"},"81":{"body":"msb と lsb は [] によるビット選択で使用できます。msb はオペランドの最上位ビットを意味します。lsb はオペランドの最下位ビットを意味し、0と同じです。 module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » msb / lsb » msb / lsb","id":"81","title":"msb / lsb"},"82":{"body":"inside は 指定された式が {} で与えられた条件内にあるかどうかを調べます。条件は単一の式または 範囲 を指定できます。条件を満たすとき inside は 1 を、そうでなければ 0 を返します。outside はその逆です。 module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » inside / outside » inside / outside","id":"82","title":"inside / outside"},"83":{"body":"この章では文について説明します。文は always_ff や always_comb などいくつかの宣言で使用することができます。","breadcrumbs":"Language Reference » 文 » 文","id":"83","title":"文"},"84":{"body":"代入文は 変数 = 式; の形式です。SystemVerilog と異なり、always_comb でも always_ff でも代入演算子は = です。以下のような代入演算子もあります。 +=:加算代入 -=:減算代入 *=:乗算代入 /=:除算代入 %=:剰余代入 &=:ビットAND代入 |=:ビットOR代入 ^=:ビットXOR代入 <<=:論理左シフト代入 >>=:論理右シフト代入 <<<=:算術左シフト代入 >>>=:算術右シフト代入 module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » 代入 » 代入","id":"84","title":"代入"},"85":{"body":"関数呼び出しは文として使うこともできます。この場合、関数の戻り値は無視されます。 module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » 関数呼び出し » 関数呼び出し","id":"85","title":"関数呼び出し"},"86":{"body":"if は文として使うこともできます。if 式との違いは {} 内に文を書くことです。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » if » if","id":"86","title":"if"},"87":{"body":"case は文として使うこともできます。条件の右側は文になります。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » case » case","id":"87","title":"case"},"88":{"body":"for 文は繰り返しを表します。in キーワードの前にループ変数を、後に 範囲 を書きます。 break を使ってループを中断することもできます。 module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » for » for","id":"88","title":"for"},"89":{"body":"return 文は関数からの戻りを示します。return キーワードの後の式は関数の戻り値です。 module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » return » return","id":"89","title":"return"},"9":{"body":"ジェネリクスによるコード生成は従来のパラメータオーバーライドよりさらに再利用性の高いコードを記述することができます。以下の例のような関数のパラメータだけでなく、インスタンスのモジュール名や構造体定義の型名もパラメータ化することができます。 SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"特徴 » ジェネリクス","id":"9","title":"ジェネリクス"},"90":{"body":"let 文はある名前に値を束縛します。これは always_ff 、 always_comb および関数宣言の中で使うことができます。 let 文はブロック中のどこにでも置くことができます。 module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » let » let","id":"90","title":"let"},"91":{"body":"この章では宣言について説明します。","breadcrumbs":"Language Reference » 宣言 » 宣言","id":"91","title":"宣言"},"92":{"body":"変数宣言は var キーワードで始まり、変数名、:、変数の型と続きます。 未使用の変数は警告が発生します。_ で始まる変数名は未使用変数を意味し、警告を抑制します。 宣言時に名前に値を束縛する場合は var の代わりに let を使います。 module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » 変数 » 変数","id":"92","title":"変数"},"93":{"body":"パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。 module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » パラメータ » パラメータ","id":"93","title":"パラメータ"},"94":{"body":"レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。 if_reset は always_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml の [build] セクションで設定できます。 モジュール内にクロックとリセットが1つしかない場合、クロックとリセットの指定は省略できます。 module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; var c: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } } always_ff { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » レジスタ » レジスタ","id":"94","title":"レジスタ"},"95":{"body":"always_comb で代入される変数は組み合わせ回路を意味します。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » 組み合わせ回路 » 組み合わせ回路","id":"95","title":"組み合わせ回路"},"96":{"body":"assign 宣言で変数に式を代入することができます。 module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » assign » assign","id":"96","title":"assign"},"97":{"body":"関数は function キーワードで宣言できます。引数は () 内に書き、戻り値の型を -> の後に書きます。 関数が戻り値を持たない場合、-> は省略できます。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » 関数 » 関数","id":"97","title":"関数"},"98":{"body":"initial ブロック内の文はシミュレーション開始時に実行され、final は終了時です。どちらも論理合成では無視され、デバッグやアサーションに使うことができます。 module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » initial / final » initial / final","id":"98","title":"initial / final"},"99":{"body":"アトリビュートは変数宣言などいくつかの宣言に注釈を付けることができます。","breadcrumbs":"Language Reference » Declaration » アトリビュート » アトリビュート","id":"99","title":"アトリビュート"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":47,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":2.0},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.0},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":1.7320508075688772},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":1.7320508075688772}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":1,"docs":{"164":{"tf":2.23606797749979}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.4142135623730951},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.0},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.0}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.4142135623730951},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.4142135623730951},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.4142135623730951},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":1.7320508075688772}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":4.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.4142135623730951},"155":{"tf":1.7320508075688772},"156":{"tf":1.0},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":6,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"65":{"tf":2.449489742783178},"70":{"tf":2.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.4142135623730951},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":1.7320508075688772},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":47,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":2.0},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":27,"docs":{"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":2.23606797749979},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":6,"docs":{"28":{"tf":1.0},"29":{"tf":2.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":15,"docs":{"164":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":14,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"167":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.7320508075688772},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":11,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}},"t":{"df":13,"docs":{"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.449489742783178}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.7320508075688772},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.7320508075688772},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.7320508075688772},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":2.0}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":14,"docs":{"167":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":8,"docs":{"167":{"tf":4.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.7320508075688772},"155":{"tf":1.7320508075688772},"156":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":18,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":2.8284271247461903},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.23606797749979},"71":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.7320508075688772},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":2.0},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"96":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"128":{"tf":1.0}}},"df":0,"docs":{}}}}},"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"140":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"14":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"136":{"tf":1.0}}}},"df":0,"docs":{}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"122":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"121":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"139":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":2,"docs":{"138":{"tf":1.0},"156":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":1,"docs":{"65":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"123":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}}); \ No newline at end of file diff --git a/nightly/book/ja/searchindex.json b/nightly/book/ja/searchindex.json index 3b69eefa..ab1d8ece 100644 --- a/nightly/book/ja/searchindex.json +++ b/nightly/book/ja/searchindex.json @@ -1 +1 @@ -{"doc_urls":["01_introduction.html#ハードウェア記述言語-veryl","01_introduction.html#最適化された構文","01_introduction.html#相互運用性","01_introduction.html#生産性","02_features.html#特徴","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#はじめに","03_getting_started/01_installation.html#インストール","03_getting_started/01_installation.html#要件","03_getting_started/01_installation.html#インストール方法の選択","03_getting_started/01_installation.html#バイナリのダウンロード","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#エディタ設定","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#そのほかのエディタ","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#プロジェクトを作る","03_getting_started/02_hello_world.html#コードを書く","03_getting_started/02_hello_world.html#ビルドする","04_code_examples.html#コード例","04_code_examples/01_module.html#モジュール","04_code_examples/02_instantiation.html#インスタンス","04_code_examples/03_interface.html#インターフェース","04_code_examples/04_package.html#パッケージ","05_language_reference.html#言語リファレンス","05_language_reference/01_source_code_structure.html#ソースコードの構造","05_language_reference/02_lexical_structure.html#字句構造","05_language_reference/02_lexical_structure.html#エンコーディング","05_language_reference/02_lexical_structure.html#空白","05_language_reference/02_lexical_structure.html#コメント","05_language_reference/02_lexical_structure.html#ドキュメンテーションコメント","05_language_reference/02_lexical_structure.html#識別子","05_language_reference/02_lexical_structure.html#文字列","05_language_reference/02_lexical_structure/01_operator.html#演算子","05_language_reference/02_lexical_structure/02_number.html#数値","05_language_reference/02_lexical_structure/02_number.html#整数","05_language_reference/02_lexical_structure/02_number.html#全ビットのセット","05_language_reference/02_lexical_structure/02_number.html#幅なし整数","05_language_reference/02_lexical_structure/02_number.html#指定ビットのセット","05_language_reference/02_lexical_structure/02_number.html#浮動小数点数","05_language_reference/02_lexical_structure/03_array_literal.html#配列リテラル","05_language_reference/03_data_type.html#データ型","05_language_reference/03_data_type/01_builtin_type.html#組み込み型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な4値データ型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な2値データ型","05_language_reference/03_data_type/01_builtin_type.html#整数型","05_language_reference/03_data_type/01_builtin_type.html#浮動小数点数型","05_language_reference/03_data_type/01_builtin_type.html#文字列型","05_language_reference/03_data_type/01_builtin_type.html#type型","05_language_reference/03_data_type/02_user_defined_type.html#ユーザ定義型","05_language_reference/03_data_type/02_user_defined_type.html#構造体","05_language_reference/03_data_type/02_user_defined_type.html#列挙型","05_language_reference/03_data_type/02_user_defined_type.html#ユニオン","05_language_reference/03_data_type/02_user_defined_type.html#型定義","05_language_reference/03_data_type/03_array.html#配列","05_language_reference/03_data_type/04_clock_reset.html#クロックとリセット","05_language_reference/04_expression.html#式","05_language_reference/04_expression/01_operator_precedence.html#演算子の優先順位","05_language_reference/04_expression/02_function_call.html#関数呼び出し","05_language_reference/04_expression/03_concatenation.html#連結","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#ビット選択","05_language_reference/04_expression/07_range.html#範囲","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#文","05_language_reference/05_statement/01_assignment.html#代入","05_language_reference/05_statement/02_function_call.html#関数呼び出し","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#宣言","05_language_reference/06_declaration/01_variable.html#変数","05_language_reference/06_declaration/02_parameter.html#パラメータ","05_language_reference/06_declaration/03_register.html#レジスタ","05_language_reference/06_declaration/04_combinational.html#組み合わせ回路","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#関数","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#アトリビュート","05_language_reference/06_declaration/08_attribute.html#sv-アトリビュート","05_language_reference/06_declaration/09_generate.html#生成","05_language_reference/06_declaration/10_instantiation.html#インスタンス","05_language_reference/06_declaration/11_named_block.html#名前付きブロック","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#モジュール","05_language_reference/08_interface.html#インターフェース","05_language_reference/09_package.html#パッケージ","05_language_reference/10_systemverilog_interoperation.html#systemverilogとの相互運用","05_language_reference/11_visibility.html#可視性","05_language_reference/12_foreign_language_integration.html#他言語組み込み","05_language_reference/12_foreign_language_integration.html#embed-宣言","05_language_reference/12_foreign_language_integration.html#include-宣言","05_language_reference/13_integrated_test.html#組み込みテスト","05_language_reference/14_generics.html#ジェネリクス","05_language_reference/14_generics.html#ジェネリック関数","05_language_reference/14_generics.html#ジェネリックモジュールインターフェース","05_language_reference/14_generics.html#ジェネリックパッケージ","05_language_reference/14_generics.html#ジェネリック構造体","06_development_environment.html#開発環境","06_development_environment/01_project_configuration.html#プロジェクト設定","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#依存関係","06_development_environment/02_dependencies.html#依存関係の使用","06_development_environment/02_dependencies.html#バージョン要求","06_development_environment/03_publish_project.html#プロジェクトを公開する","06_development_environment/03_publish_project.html#バージョンを上げる","06_development_environment/03_publish_project.html#設定","06_development_environment/04_directory_layout.html#ディレクトリ構成","06_development_environment/04_directory_layout.html#単一のソースディレクトリ","06_development_environment/04_directory_layout.html#単一のソースとターゲットディレクトリ","06_development_environment/04_directory_layout.html#マルチソースディレクトリ","06_development_environment/04_directory_layout.html#gitignore-について","06_development_environment/05_formatter.html#フォーマッタ","06_development_environment/06_linter.html#リンタ","06_development_environment/06_linter.html#lintnaming-セクション","06_development_environment/07_simulator.html#シミュレータ","06_development_environment/07_simulator.html#test-セクション","06_development_environment/07_simulator.html#testverilator-セクション","06_development_environment/07_simulator.html#testvcs-セクション","06_development_environment/07_simulator.html#testvivado-セクション","06_development_environment/08_language_server.html#言語サーバ","06_development_environment/09_compatibility.html#互換性","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#文字列パラメータ","06_development_environment/10_documentation.html#ドキュメンテーション","06_development_environment/11_github_action.html#github-action","07_appendix.html#補遺","07_appendix/01_formal_syntax.html#構文","07_appendix/02_semantic_error.html#セマンティックエラー","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":3,"breadcrumbs":1,"title":1},"1":{"body":0,"breadcrumbs":0,"title":0},"10":{"body":20,"breadcrumbs":0,"title":0},"100":{"body":13,"breadcrumbs":4,"title":1},"101":{"body":14,"breadcrumbs":3,"title":0},"102":{"body":36,"breadcrumbs":3,"title":0},"103":{"body":10,"breadcrumbs":3,"title":0},"104":{"body":35,"breadcrumbs":7,"title":2},"105":{"body":31,"breadcrumbs":2,"title":0},"106":{"body":27,"breadcrumbs":2,"title":0},"107":{"body":7,"breadcrumbs":2,"title":0},"108":{"body":17,"breadcrumbs":4,"title":1},"109":{"body":11,"breadcrumbs":2,"title":0},"11":{"body":37,"breadcrumbs":0,"title":0},"110":{"body":0,"breadcrumbs":2,"title":0},"111":{"body":13,"breadcrumbs":3,"title":1},"112":{"body":4,"breadcrumbs":3,"title":1},"113":{"body":25,"breadcrumbs":2,"title":0},"114":{"body":1,"breadcrumbs":2,"title":0},"115":{"body":15,"breadcrumbs":2,"title":0},"116":{"body":17,"breadcrumbs":2,"title":0},"117":{"body":15,"breadcrumbs":2,"title":0},"118":{"body":27,"breadcrumbs":2,"title":0},"119":{"body":0,"breadcrumbs":0,"title":0},"12":{"body":20,"breadcrumbs":0,"title":0},"120":{"body":21,"breadcrumbs":2,"title":0},"121":{"body":4,"breadcrumbs":3,"title":1},"122":{"body":2,"breadcrumbs":3,"title":1},"123":{"body":4,"breadcrumbs":3,"title":1},"124":{"body":9,"breadcrumbs":3,"title":1},"125":{"body":1,"breadcrumbs":3,"title":1},"126":{"body":10,"breadcrumbs":3,"title":1},"127":{"body":5,"breadcrumbs":3,"title":1},"128":{"body":1,"breadcrumbs":3,"title":1},"129":{"body":3,"breadcrumbs":3,"title":1},"13":{"body":20,"breadcrumbs":1,"title":1},"130":{"body":5,"breadcrumbs":3,"title":1},"131":{"body":5,"breadcrumbs":3,"title":1},"132":{"body":14,"breadcrumbs":3,"title":1},"133":{"body":8,"breadcrumbs":3,"title":1},"134":{"body":6,"breadcrumbs":3,"title":1},"135":{"body":6,"breadcrumbs":3,"title":1},"136":{"body":1,"breadcrumbs":3,"title":1},"137":{"body":1,"breadcrumbs":3,"title":1},"138":{"body":1,"breadcrumbs":3,"title":1},"139":{"body":1,"breadcrumbs":3,"title":1},"14":{"body":20,"breadcrumbs":1,"title":1},"140":{"body":1,"breadcrumbs":3,"title":1},"141":{"body":25,"breadcrumbs":2,"title":0},"142":{"body":26,"breadcrumbs":2,"title":0},"143":{"body":20,"breadcrumbs":2,"title":0},"144":{"body":54,"breadcrumbs":2,"title":0},"145":{"body":39,"breadcrumbs":2,"title":0},"146":{"body":16,"breadcrumbs":2,"title":0},"147":{"body":1,"breadcrumbs":2,"title":0},"148":{"body":36,"breadcrumbs":2,"title":0},"149":{"body":25,"breadcrumbs":2,"title":0},"15":{"body":17,"breadcrumbs":1,"title":1},"150":{"body":24,"breadcrumbs":2,"title":0},"151":{"body":7,"breadcrumbs":3,"title":1},"152":{"body":9,"breadcrumbs":2,"title":0},"153":{"body":9,"breadcrumbs":2,"title":0},"154":{"body":171,"breadcrumbs":3,"title":1},"155":{"body":14,"breadcrumbs":2,"title":0},"156":{"body":5,"breadcrumbs":3,"title":1},"157":{"body":4,"breadcrumbs":3,"title":1},"158":{"body":4,"breadcrumbs":3,"title":1},"159":{"body":7,"breadcrumbs":3,"title":1},"16":{"body":28,"breadcrumbs":1,"title":1},"160":{"body":4,"breadcrumbs":2,"title":0},"161":{"body":2,"breadcrumbs":2,"title":0},"162":{"body":0,"breadcrumbs":3,"title":1},"163":{"body":10,"breadcrumbs":2,"title":0},"164":{"body":75,"breadcrumbs":2,"title":0},"165":{"body":87,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":0,"title":0},"167":{"body":2247,"breadcrumbs":1,"title":0},"168":{"body":0,"breadcrumbs":1,"title":0},"169":{"body":0,"breadcrumbs":2,"title":1},"17":{"body":28,"breadcrumbs":2,"title":2},"170":{"body":0,"breadcrumbs":2,"title":1},"171":{"body":0,"breadcrumbs":2,"title":1},"172":{"body":0,"breadcrumbs":2,"title":1},"173":{"body":0,"breadcrumbs":2,"title":1},"174":{"body":0,"breadcrumbs":2,"title":1},"175":{"body":0,"breadcrumbs":2,"title":1},"176":{"body":0,"breadcrumbs":2,"title":1},"177":{"body":0,"breadcrumbs":2,"title":1},"178":{"body":0,"breadcrumbs":2,"title":1},"179":{"body":0,"breadcrumbs":2,"title":1},"18":{"body":18,"breadcrumbs":1,"title":1},"180":{"body":0,"breadcrumbs":2,"title":1},"181":{"body":0,"breadcrumbs":2,"title":1},"182":{"body":0,"breadcrumbs":2,"title":1},"183":{"body":0,"breadcrumbs":2,"title":1},"184":{"body":0,"breadcrumbs":2,"title":1},"185":{"body":0,"breadcrumbs":2,"title":1},"186":{"body":0,"breadcrumbs":2,"title":1},"187":{"body":0,"breadcrumbs":2,"title":1},"188":{"body":0,"breadcrumbs":2,"title":1},"189":{"body":0,"breadcrumbs":2,"title":1},"19":{"body":22,"breadcrumbs":0,"title":0},"190":{"body":0,"breadcrumbs":2,"title":1},"191":{"body":0,"breadcrumbs":2,"title":1},"192":{"body":0,"breadcrumbs":2,"title":1},"193":{"body":0,"breadcrumbs":2,"title":1},"2":{"body":0,"breadcrumbs":0,"title":0},"20":{"body":7,"breadcrumbs":0,"title":0},"21":{"body":14,"breadcrumbs":0,"title":0},"22":{"body":2,"breadcrumbs":0,"title":0},"23":{"body":3,"breadcrumbs":2,"title":0},"24":{"body":3,"breadcrumbs":2,"title":0},"25":{"body":0,"breadcrumbs":2,"title":0},"26":{"body":0,"breadcrumbs":2,"title":0},"27":{"body":6,"breadcrumbs":3,"title":1},"28":{"body":5,"breadcrumbs":2,"title":0},"29":{"body":11,"breadcrumbs":5,"title":3},"3":{"body":0,"breadcrumbs":0,"title":0},"30":{"body":7,"breadcrumbs":4,"title":2},"31":{"body":2,"breadcrumbs":2,"title":0},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":25,"breadcrumbs":4,"title":0},"34":{"body":20,"breadcrumbs":4,"title":0},"35":{"body":44,"breadcrumbs":4,"title":0},"36":{"body":20,"breadcrumbs":0,"title":0},"37":{"body":68,"breadcrumbs":2,"title":0},"38":{"body":78,"breadcrumbs":2,"title":0},"39":{"body":56,"breadcrumbs":2,"title":0},"4":{"body":7,"breadcrumbs":0,"title":0},"40":{"body":24,"breadcrumbs":2,"title":0},"41":{"body":1,"breadcrumbs":0,"title":0},"42":{"body":12,"breadcrumbs":2,"title":0},"43":{"body":1,"breadcrumbs":2,"title":0},"44":{"body":3,"breadcrumbs":2,"title":0},"45":{"body":2,"breadcrumbs":2,"title":0},"46":{"body":0,"breadcrumbs":2,"title":0},"47":{"body":0,"breadcrumbs":2,"title":0},"48":{"body":7,"breadcrumbs":2,"title":0},"49":{"body":3,"breadcrumbs":2,"title":0},"5":{"body":3,"breadcrumbs":0,"title":0},"50":{"body":68,"breadcrumbs":4,"title":0},"51":{"body":0,"breadcrumbs":4,"title":0},"52":{"body":14,"breadcrumbs":4,"title":0},"53":{"body":10,"breadcrumbs":4,"title":0},"54":{"body":18,"breadcrumbs":4,"title":0},"55":{"body":18,"breadcrumbs":4,"title":0},"56":{"body":5,"breadcrumbs":4,"title":0},"57":{"body":27,"breadcrumbs":4,"title":0},"58":{"body":0,"breadcrumbs":2,"title":0},"59":{"body":0,"breadcrumbs":4,"title":0},"6":{"body":2,"breadcrumbs":0,"title":0},"60":{"body":18,"breadcrumbs":5,"title":1},"61":{"body":18,"breadcrumbs":5,"title":1},"62":{"body":18,"breadcrumbs":4,"title":0},"63":{"body":13,"breadcrumbs":4,"title":0},"64":{"body":5,"breadcrumbs":4,"title":0},"65":{"body":17,"breadcrumbs":5,"title":1},"66":{"body":0,"breadcrumbs":4,"title":0},"67":{"body":22,"breadcrumbs":4,"title":0},"68":{"body":17,"breadcrumbs":4,"title":0},"69":{"body":24,"breadcrumbs":4,"title":0},"7":{"body":15,"breadcrumbs":0,"title":0},"70":{"body":15,"breadcrumbs":4,"title":0},"71":{"body":99,"breadcrumbs":4,"title":0},"72":{"body":68,"breadcrumbs":4,"title":0},"73":{"body":0,"breadcrumbs":2,"title":0},"74":{"body":1,"breadcrumbs":3,"title":0},"75":{"body":22,"breadcrumbs":3,"title":0},"76":{"body":20,"breadcrumbs":3,"title":0},"77":{"body":14,"breadcrumbs":3,"title":0},"78":{"body":26,"breadcrumbs":5,"title":1},"79":{"body":16,"breadcrumbs":3,"title":0},"8":{"body":4,"breadcrumbs":0,"title":0},"80":{"body":9,"breadcrumbs":3,"title":0},"81":{"body":18,"breadcrumbs":7,"title":2},"82":{"body":29,"breadcrumbs":7,"title":2},"83":{"body":2,"breadcrumbs":2,"title":0},"84":{"body":34,"breadcrumbs":3,"title":0},"85":{"body":5,"breadcrumbs":3,"title":0},"86":{"body":16,"breadcrumbs":3,"title":0},"87":{"body":26,"breadcrumbs":5,"title":1},"88":{"body":10,"breadcrumbs":3,"title":0},"89":{"body":9,"breadcrumbs":5,"title":1},"9":{"body":57,"breadcrumbs":0,"title":0},"90":{"body":35,"breadcrumbs":3,"title":0},"91":{"body":0,"breadcrumbs":2,"title":0},"92":{"body":33,"breadcrumbs":3,"title":0},"93":{"body":11,"breadcrumbs":3,"title":0},"94":{"body":34,"breadcrumbs":3,"title":0},"95":{"body":11,"breadcrumbs":3,"title":0},"96":{"body":7,"breadcrumbs":5,"title":1},"97":{"body":24,"breadcrumbs":3,"title":0},"98":{"body":8,"breadcrumbs":7,"title":2},"99":{"body":0,"breadcrumbs":3,"title":0}},"docs":{"0":{"body":"Veryl Veryl は SystemVerilog をベースに設計されたハードウェア記述言語であり、以下のような特徴があります。","breadcrumbs":"概要 » ハードウェア記述言語 Veryl","id":"0","title":"ハードウェア記述言語 Veryl"},"1":{"body":"Verylは、SystemVerilogの経験者にとって親しみやすい基本構文に基づきながら、論理設計に最適化された構文を採用しています。この最適化には、たとえば合成可能性の保証やシミュレーション結果の一致の保証、頻出する定型文を簡素化する多数の構文などの提供が含まれます。このアプローチにより、学習の容易さ、設計プロセスの信頼性と効率の向上、およびコードの記述の容易さが実現されます。","breadcrumbs":"概要 » 最適化された構文","id":"1","title":"最適化された構文"},"10":{"body":"末尾カンマは、リストの最後の要素の後ろにカンマが置かれる構文です。これにより、要素の追加や削除が容易になり、バージョン管理システムにおける不必要な差異を減らすことができます。 SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"特徴 » 末尾カンマ","id":"10","title":"末尾カンマ"},"100":{"body":"SV アトリビュートは SystemVerilog のアトリビュートを表し、(* *) という形式の SystemVerilog アトリビュートに変換されます。 module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » アトリビュート » SV アトリビュート","id":"100","title":"SV アトリビュート"},"101":{"body":"宣言や for や if を使って生成することができます。: で示すラベルは生成された複数の宣言を識別するのに必要です。 module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // else 句のラベルは省略可能 assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » 生成 » 生成","id":"101","title":"生成"},"102":{"body":"inst キーワードはモジュールやインターフェースのインスタンス化を表します。インスタンスの名前は inst の後に、インスタンスの型は : の後に書きます。#() でパラメータオーバーライドを、() でポート接続を表します。 module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // 名前によるパラメータ代入 paramB: 10, ) ( a , // 名前によるポート接続 bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » インスタンス » インスタンス","id":"102","title":"インスタンス"},"103":{"body":"{} ブロックにラベルを付けることができます。そのような名前付きブロックは独立した名前空間を持ちます。 module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » 名前付きブロック » 名前付きブロック","id":"103","title":"名前付きブロック"},"104":{"body":"import 宣言は他のパッケージからシンボルをインポートします。モジュール、インターフェース、パッケージの要素としてだけでなくトップレベルにも配置することができます。import 宣言の引数には package::* のようなワイルドカードパターンを使用することができます。 // ファイルスコープインポート\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export 宣言は宣言したパッケージからシンボルをエクスポートします。全てのシンボルをエクスポートするには export * を使用します。 package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"モジュールはソースコードの最上位コンポーネントの1つです。モジュールはオーバーライド可能なパラメータ、接続ポート、内部ロジックを持ちます。 オーバーライド可能なパラメータは #() 内で宣言できます。それぞれのパラメータ宣言は param キーワードで始まり、識別子、:、パラメータの型、デフォルト値で構成されます。 接続ポートは () 内で宣言できます。それぞれのポート宣言は識別子、:、ポートの方向、ポートの型で構成されます。利用可能なポート方向は以下の通りです。 input:入力ポート output:出力ポート inout:双方向ポート modport:インターフェースのmodport module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » モジュール » モジュール","id":"105","title":"モジュール"},"106":{"body":"インターフェースはソースコードの最上位コンポーネントの1つです。インターフェースはオーバーライド可能なパラメータ、インターフェース定義を持ちます。 オーバーライド可能なパラメータについてはモジュールと同じです。 インターフェース定義では modport を宣言することができます。modport はモジュールのポート宣言で、ポートを束ねて接続するために使うことができます。 interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » インターフェース » インターフェース","id":"106","title":"インターフェース"},"107":{"body":"パッケージはソースコードの最上位コンポーネントの1つです。パッケージはパラメータや関数などいくつかの宣言をまとめることができます。 パッケージ内の要素にアクセスするには、:: 記号を使って PackageA::ParamA のようにします。 package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » パッケージ » パッケージ","id":"107","title":"パッケージ"},"108":{"body":"SystemVerilogの要素にアクセスする場合は $sv 名前空間を使えます。例えば、SystemVerilogソースコードの \"ModuleA\" は $sv::ModuleA です。Veryl はこれらの要素が実際に存在するかどうかは確認しません。 module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilogとの相互運用 » SystemVerilogとの相互運用","id":"108","title":"SystemVerilogとの相互運用"},"109":{"body":"デフォルトではプロジェクトのトップレベルアイテム(モジュール、インターフェース、パッケージ)はプライベートです。プライベートとは他のプロジェクトから参照できないことを意味します。 pub キーワードによって他のプロジェクトから見えるように指定することができます。veryl doc コマンドはパブリックなアイテムの ドキュメント のみを生成します。 pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » 可視性 » 可視性","id":"109","title":"可視性"},"11":{"body":"クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。 さらに、明示的な clock と reset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。 SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff (i_clk, i_rst) { if_reset { } else { } }\n}","breadcrumbs":"特徴 » クロックとリセットの抽象化","id":"11","title":"クロックとリセットの抽象化"},"110":{"body":"","breadcrumbs":"Language Reference » 他言語組み込み » 他言語組み込み","id":"110","title":"他言語組み込み"},"111":{"body":"embed 宣言により他言語をコードに埋め込むことができます。embed 宣言の第一引数は埋め込み方法です。以下の方法がサポートされています。 inline: コードをそのまま展開する コードブロックは lang{{{ で始まり、}}} で終わります。以下の lang 指示子がサポートされています。 sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » 他言語組み込み » embed 宣言","id":"111","title":"embed 宣言"},"112":{"body":"include 宣言により他言語のファイルを含めることができます。include 宣言の第一引数は embed 宣言と同じです。第二引数はソースコードからの相対ファイルパスです。 include(inline, \"module.sv\");","breadcrumbs":"Language Reference » 他言語組み込み » include 宣言","id":"112","title":"include 宣言"},"113":{"body":"組み込みテストは #[test(test_name)] アトリビュートでマークすることができます。マークされたブロックはテストとして認識され、 veryl test コマンドによって実行されます。ブロックのトップレベルモジュールはテスト名と同じでなければなりません。 $info、$warning、$error、$fatal システム関数によるメッセージは Veryl コンパイラにより実行ログとして表示されます。$error と $fatal の呼び出しはテストの失敗として扱われます。 以下の例では SystemVerilog のソースコードを embed 宣言で埋め込み、テストとしてマークしています。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} veryl test で使用される RTLシミュレータについては シミュレータ を参照してください。","breadcrumbs":"Language Reference » 組み込みテスト » 組み込みテスト","id":"113","title":"組み込みテスト"},"114":{"body":"ジェネリクスはパラメータオーバーライドでは実現できないアイテムのパラメータ化を可能にします。以下のアイテムがジェネリクスをサポートしています。 関数 モジュール インターフェース パッケージ 構造体 ユニオン それぞれのジェネリック定義はジェネリックパラメータ(T のような大文字1文字がよく使われます)を持ち、定義内で識別子や式として配置できます。ジェネリックパラメータはアイテムの識別子の後に ::<> を用いて宣言します。 ジェネリクスを使用するためには ::<> を用いて実パラメータを与えます。実パラメータとしては数値リテラルと :: で連結された識別子を使用することができます。 さらに、実パラメータはジェネリクス定義位置から参照できなければなりません。例えば、モジュール名はプロジェクト全体から参照できるので、実パラメータとして使用できます。一方、ローカルパラメータは多くの場合、実パラメータとして使用できません。これはローカルパラメータがジェネリクス定義位置からは参照できない場合に発生します。","breadcrumbs":"Language Reference » ジェネリクス » ジェネリクス","id":"114","title":"ジェネリクス"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック関数","id":"115","title":"ジェネリック関数"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックモジュール/インターフェース","id":"116","title":"ジェネリックモジュール/インターフェース"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックパッケージ","id":"117","title":"ジェネリックパッケージ"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // ローカルに定位された型が使用できています // これは `TypeA` が `StructA` の定義位置から参照できるためです var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック構造体","id":"118","title":"ジェネリック構造体"},"119":{"body":"この章ではプロジェクト設定や開発ツールなど開発環境について説明します。","breadcrumbs":"開発環境 » 開発環境","id":"119","title":"開発環境"},"12":{"body":"ドキュメンテーションコメントとしてモジュールの説明を書いておくとドキュメントを自動生成することができます。単なるテキストだけでなく、マークダウン形式や WaveDrom による波形記述を使用することができます。 SystemVerilog\nVeryl // コメント\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"特徴 » ドキュメンテーションコメント","id":"12","title":"ドキュメンテーションコメント"},"120":{"body":"[project] --- プロジェクト定義 name --- プロジェクトの名前 version --- プロジェクトのバージョン authors --- プロジェクトの作者 description --- プロジェクトの説明 license --- プロジェクトのライセンス repository --- プロジェクトのリポジトリの URL [build] --- ビルド設定 clock_type --- クロックタイプ reset_type --- リセットタイプ filelist_type --- ファイルリストのタイプ target --- 出力方法 implicit_parameter_types --- 暗黙のパラメータ型を有効にするかどうか omit_project_prefix --- プロジェクト名のプレフィックスを省略するかどうか strip_comments --- コメントを削除するかどうか [format] --- フォーマット設定 [lint] --- リント設定 [test] --- テスト設定 [publish] --- 公開設定 [dependencies] --- ライブラリの依存関係","breadcrumbs":"Development Environment » プロジェクト設定 » プロジェクト設定","id":"120","title":"プロジェクト設定"},"121":{"body":"Veryl.toml の最初のセクションは [project] です。name と version は必須です。","breadcrumbs":"Development Environment » プロジェクト設定 » [project] セクション","id":"121","title":"[project] セクション"},"122":{"body":"プロジェクト名は生成されるコードのプレフィックスに使われます。そのためプロジェクト名はアルファベットか _ で始まり、英数字と_ しか使ってはいけません。","breadcrumbs":"Development Environment » プロジェクト設定 » name フィールド","id":"122","title":"name フィールド"},"123":{"body":"プロジェクトのバージョンは セマンティックバージョニング に従います。バージョンは以下の3つの数字からなります。 メジャー -- 互換性のない変更時に上げる マイナー -- 互換性のある機能追加時に上げる バッチ -- 互換性のあるバグ修正時に上げる [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » version フィールド","id":"123","title":"version フィールド"},"124":{"body":"オプションの authors フィールドにはこのプロジェクトの作者である人や組織を配列にリストアップします。配列内の各文字列のフォーマットは自由です。名前のみ、Eメールアドレスのみ、名前と括弧で囲んだEメールアドレスといった形式がよく使われます。 [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » プロジェクト設定 » authors フィールド","id":"124","title":"authors フィールド"},"125":{"body":"description はプロジェクトの短い説明です。マークダウンではなくプレーンテキスト形式で書きます。","breadcrumbs":"Development Environment » プロジェクト設定 » description フィールド","id":"125","title":"description フィールド"},"126":{"body":"license フィールドはこのプロジェクトがどのライセンスで公開されているかを指定します。指定する文字列は SPDX 2.3 license expression に従ってください。 [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » license フィールド","id":"126","title":"license フィールド"},"127":{"body":"repository フィールドはプロジェクトのソースリポジトリへのURLです。 [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » プロジェクト設定 » repository フィールド","id":"127","title":"repository フィールド"},"128":{"body":"[build] セクションはコード生成の設定です。","breadcrumbs":"Development Environment » プロジェクト設定 » [build] セクション","id":"128","title":"[build] セクション"},"129":{"body":"clock_type フィールドはフリップフロップを駆動するクロックエッジを指定します。 posedge -- 立ち上がりエッジ negedge -- 立ち下がりエッジ","breadcrumbs":"Development Environment » プロジェクト設定 » clock_type フィールド","id":"129","title":"clock_type フィールド"},"13":{"body":"ノンブロッキング専用の代入演算子はなく、always_ff 内ではノンブロッキング代入が、 always_comb 内ではブロッキング代入が推論されます。そのため always_ff 内でも always_comb 内と同様に様々な複合代入演算子を使用することができます。 SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"特徴 » always_ff での複合代入演算子","id":"13","title":"always_ff での複合代入演算子"},"130":{"body":"reset_type フィールドはリセットの極性と同期性を指定します。 async_low -- 非同期・負極性 async_high -- 非同期・正極性 sync_low -- 同期・負極性 sync_high -- 同期・正極性","breadcrumbs":"Development Environment » プロジェクト設定 » reset_type フィールド","id":"130","title":"reset_type フィールド"},"131":{"body":"filelist_type フィールドはファイルリストのフォーマットを指定します。 absolute -- プレーンテキスト形式の絶対パスのリスト relative -- プレーンテキスト形式の相対パスのリスト flgen -- flgen 形式のファイルリスト","breadcrumbs":"Development Environment » プロジェクト設定 » filelist_type フィールド","id":"131","title":"filelist_type フィールド"},"132":{"body":"target フィールドはコードの生成先を指定します。 source -- ソースコードと同じディレクトリ directory -- 特定のディレクトリ bundle -- 特定のファイル directory あるいは bundle を指定する場合は、ターゲットパスを path キーで指定します。 [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » プロジェクト設定 » target フィールド","id":"132","title":"target フィールド"},"133":{"body":"implicit_parameter_types フィールドは生成コードの parameter 宣言で省略する型をリストアップします。いくつかのEDAツールでは特定の型(例えば string)を parameter 宣言で使うことができないためです。例えば string を指定する場合は以下のようにします。 [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » プロジェクト設定 » implicit_parameter_types フィールド","id":"133","title":"implicit_parameter_types フィールド"},"134":{"body":"omit_project_prefix が true のとき、モジュール・インターフェース・パッケージ名のプロジェクトプレフィックスは省略されます。この値はデフォルトで false です。 [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » プロジェクト設定 » omit_project_prefix フィールド","id":"134","title":"omit_project_prefix フィールド"},"135":{"body":"strip_comments が true のとき、コメント出力は省略されます。この値はデフォルトで false です。 [build]\nstrip_comments = true","breadcrumbs":"Development Environment » プロジェクト設定 » strip_comments フィールド","id":"135","title":"strip_comments フィールド"},"136":{"body":"[format] セクションはコードフォーマッターの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [format] セクション","id":"136","title":"[format] セクション"},"137":{"body":"[lint] セクションはリンタの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [lint] セクション","id":"137","title":"[lint] セクション"},"138":{"body":"[test] セクションはRTLシミュレータによるテストの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [test] セクション","id":"138","title":"[test] セクション"},"139":{"body":"[publish] セクションはプロジェクト公開の設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [publish] セクション","id":"139","title":"[publish] セクション"},"14":{"body":"enumのバリアントはenum毎に独立した名前空間を持っており意図しない名前の衝突を防ぐことができます。 SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"特徴 » 独立した名前空間を持つenumバリアント","id":"14","title":"独立した名前空間を持つenumバリアント"},"140":{"body":"[dependencies] セクションはライブラリの依存関係です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [dependencies] セクション","id":"140","title":"[dependencies] セクション"},"141":{"body":"他の Veryl プロジェクトへの依存関係をプロジェクトに追加したい場合、Veryl.toml に [dependencies] セクションを追加します。エントリの左辺は依存関係へのパス、右辺はバージョンです。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" デフォルトでは依存関係の名前空間はそのプロジェクト名と同じです。もし名前空間を指定したい場合は、 name フィールドを使います。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} 同じ依存関係の複数のバージョンを使う場合は、以下のようにそれぞれの名前を指定できます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » 依存関係 » 依存関係","id":"141","title":"依存関係"},"142":{"body":"Veryl.toml に依存関係を追加したあとは、その依存関係の module、interface、packageを使うことができます。以下は veryl_sample の依存関係に含まれる delay モジュールを使った例です。 module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} 注:上記のコードのプレイボタンの結果は依存関係解決を行わないので正確ではありません。実際のモジュール名は veryl_samlle_delay になります。","breadcrumbs":"Development Environment » 依存関係 » 依存関係の使用","id":"142","title":"依存関係の使用"},"143":{"body":"[dependencies] セクションの version フィールドはバージョン要求を示します。例えば、version = \"0.1.0\" は 0.1.0 と互換性のある最新バージョンを意味します。互換性は セマンティックバージョニング で判定されます。バージョンは以下の3つの部分からなります。 メジャー バージョンはAPI非互換な変更 マイナー バージョンは互換性のある機能追加 パッチ バージョンは互換性のあるバグ修正 もし メジャー バージョンが 0 なら、マイナー が非互換変更と解釈されます。 バージョン 0.1.0、0.1.1、0.2.0があった場合、0.1.1 が選択されます。これは以下のように決定されます。 0.1.0 は 0.1.0 と互換性がある 0.1.1 は 0.1.0 と互換性がある 0.2.0 は 0.1.0 と互換性がない 0.1.1 は互換性のある最新バージョン version フィールドは =0.1.0 のような指定も可能です。詳細は Rust のバージョン要求についてのドキュメントを参照してください。 Specifying Dependencies .","breadcrumbs":"Development Environment » 依存関係 » バージョン要求","id":"143","title":"バージョン要求"},"144":{"body":"プロジェクトを公開するには veryl publish コマンドを使います。公開とはバージョン番号とgitのリビジョンを紐づけることです。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish は以下のように公開されたバージョンの情報を含んだ Veryl.pub というファイルを生成します。 [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" Veryl.pub と生成した後、gitのadd、commit、pushを行えば公開手続きは完了です。gitブランチはデフォルトブランチでなければなりません。これは Veryl が Veryl.pub をデフォルトブランチから探すためです。 $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push Veryl.toml の [publish] セクションに publish_commit を設定して自動コミットを有効にすれば、gitのaddとcommitが自動で実行されます。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » プロジェクトを公開する","id":"144","title":"プロジェクトを公開する"},"145":{"body":"--bump オプションを使うと公開と同時にバージョンを上げることもできます。公開と同様に、Veryl.toml の[publish] セクションに bump_commit を設定すれば自動でcommitされます。 $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » バージョンを上げる","id":"145","title":"バージョンを上げる"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" 設定 設定値 デフォルト 説明 bump_commit ブーリアン false バージョンアップ後の自動コミット publish_commit ブーリアン false 公開後の自動コミット bump_commit_mesasge 文字列 \"chore: Bump version\" バージョンアップ後のコミットメッセージ publish_commit_mesasge 文字列 \"chore: Publish\" 公開後のコミットメッセージ","breadcrumbs":"Development Environment » プロジェクトを公開する » 設定","id":"146","title":"設定"},"147":{"body":"Veryl は任意のディレクトリ構成をサポートしています。これは独立したプロジェクトと他のプロジェクトに組み込まれたプロジェクトでは最適なディレクトリ構成が異なるためです。 この節ではいくつかのディレクトリ構成パターンを示します。","breadcrumbs":"Development Environment » ディレクトリ構成 » ディレクトリ構成","id":"147","title":"ディレクトリ構成"},"148":{"body":"このパターンでは全てのソースコードは src ディレクトリに配置されます。src 以下のサブディレクトリの構成は自由です。 $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl は全ての *.veryl ファイルを収集し、デフォルトではソースと同じディレクトリにコードを生成します。この挙動は以下の設定で明示することもできます。 [build]\ntarget = \"source\" veryl build を実行するとディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースディレクトリ","id":"148","title":"単一のソースディレクトリ"},"149":{"body":"生成されたコードを1つのディレクトリに入れたい場合、Veryl.toml の [build] セクションで target を以下のように設定します。 [build]\ntarget = {type = \"directory\", path = \"target\"} ディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースとターゲットディレクトリ","id":"149","title":"単一のソースとターゲットディレクトリ"},"15":{"body":"ビット連結における繰り返し記述として明示的な repeat 記法を採用し、 複雑な {} の組み合わせより可読性が向上しています。 SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"特徴 » ビット連結における repeat","id":"15","title":"ビット連結における repeat"},"150":{"body":"既存の SystemVerilog プロジェクトに Veryl のプロジェクトを組み込む場合、以下のような構成にすることもできます。 $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files 生成された prj.f は生成されたソースコードを全てリストアップしているので、既存の SystemVerilog ファイルリストと一緒に使うことができます。","breadcrumbs":"Development Environment » ディレクトリ構成 » マルチソースディレクトリ","id":"150","title":"マルチソースディレクトリ"},"151":{"body":"Veryl はデフォルトの .gitignore を提供しません。これはプロジェクト毎にどのファイルを無視する必要があるかが変わるためです。 .gitignore の候補としては以下が考えられます。 dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » ディレクトリ構成 » .gitignore について","id":"151","title":".gitignore について"},"152":{"body":"veryl fmt コマンドでソースコードをフォーマットできます。あるいは言語サーバの textDocument/formatting 要求によるフォーマットにも対応しています。 設定可能な項目は以下の通りです。これは Veryl.toml の [format] セクションで指定できます。 [format]\nindent_width = 4 設定 設定値 説明 indent_width 整数 インデントのスペース幅","breadcrumbs":"Development Environment » フォーマッタ » フォーマッタ","id":"152","title":"フォーマッタ"},"153":{"body":"veryl check あるいは veryl build でリントチェックができます。あるいは言語サーバはリアルタイムでのチェックを行います。 設定可能な項目は以下の通りです。これは Veryl.toml の [lint] セクションで指定できます。 [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » リンタ » リンタ","id":"153","title":"リンタ"},"154":{"body":"このセクションは命名規則の設定です。 設定 設定値 説明 case_enum ケースタイプ [1] enum のケーススタイル case_function ケースタイプ [1] function のケーススタイル case_instance ケースタイプ [1] インスタンスのケーススタイル case_interface ケースタイプ [1] interface のケーススタイル case_modport ケースタイプ [1] modport のケーススタイル case_module ケースタイプ [1] module のケーススタイル case_package ケースタイプ [1] package のケーススタイル case_parameter ケースタイプ [1] parameter のケーススタイル case_port_inout ケースタイプ [1] inout ポートのケーススタイル case_port_input ケースタイプ [1] input ポートのケーススタイル case_port_modport ケースタイプ [1] modport ポートのケーススタイル case_port_output ケースタイプ [1] output ポートのケーススタイル case_reg ケースタイプ [1] レジスタ変数 [2] のケーススタイル case_struct ケースタイプ [1] struct のケーススタイル case_wire ケースタイプ [1] ワイヤ変数 [3] のケーススタイル prefix_enum 文字列 enum のプレフィックス prefix_function 文字列 function のプレフィックス prefix_instance 文字列 インスタンスのプレフィックス prefix_interface 文字列 interface のプレフィックス prefix_modport 文字列 modport のプレフィックス prefix_module 文字列 module のプレフィックス prefix_package 文字列 package のプレフィックス prefix_parameter 文字列 parameter のプレフィックス prefix_port_inout 文字列 inout ポートのプレフィックス prefix_port_input 文字列 input ポートのプレフィックス prefix_port_modport 文字列 modport ポートのプレフィックス prefix_port_output 文字列 output ポートのプレフィックス prefix_reg 文字列 レジスタ変数 [2] のプレフィックス prefix_struct 文字列 struct のプレフィックス prefix_wire 文字列 ワイヤ変数 [3] のプレフィックス re_forbidden_enum 正規表現 [4] enum の禁止正規表現 re_forbidden_function 正規表現 [4] function の禁止正規表現 re_forbidden_instance 正規表現 [4] インスタンスの禁止正規表現 re_forbidden_interface 正規表現 [4] interface の禁止正規表現 re_forbidden_modport 正規表現 [4] modport の禁止正規表現 re_forbidden_module 正規表現 [4] module の禁止正規表現 re_forbidden_package 正規表現 [4] package の禁止正規表現 re_forbidden_parameter 正規表現 [4] parameter の禁止正規表現 re_forbidden_port_inout 正規表現 [4] inout ポートの禁止正規表現 re_forbidden_port_input 正規表現 [4] input ポートの禁止正規表現 re_forbidden_port_modport 正規表現 [4] modport ポートの禁止正規表現 re_forbidden_port_output 正規表現 [4] output ポートの禁止正規表現 re_forbidden_reg 正規表現 [4] レジスタ変数 [2] の禁止正規表現 re_forbidden_struct 正規表現 [4] struct の禁止正規表現 re_forbidden_wire 正規表現 [4] ワイヤ変数 [3] の禁止正規表現 re_required_enum 正規表現 [4] enum の必須正規表現 re_required_function 正規表現 [4] function の必須正規表現 re_required_instance 正規表現 [4] インスタンスの必須正規表現 re_required_interface 正規表現 [4] interface の必須正規表現 re_required_modport 正規表現 [4] modport の必須正規表現 re_required_module 正規表現 [4] module の必須正規表現 re_required_package 正規表現 [4] package の必須正規表現 re_required_parameter 正規表現 [4] parameter の必須正規表現 re_required_port_inout 正規表現 [4] inout ポートの必須正規表現 re_required_port_input 正規表現 [4] input ポートの必須正規表現 re_required_port_modport 正規表現 [4] modport ポートの必須正規表現 re_required_port_output 正規表現 [4] output ポートの必須正規表現 re_required_reg 正規表現 [4] レジスタ変数 [2] の必須正規表現 re_required_struct 正規表現 [4] struct の必須正規表現 re_required_wire 正規表現 [4] ワイヤ変数 [3] の必須正規表現 設定可能な値は以下です。 \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase \".*\"のような正規表現です。使用可能な構文は こちら . レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 ワイヤ変数とは always_comb で代入される変数です。合成フェーズでワイヤにマップされます。","breadcrumbs":"Development Environment » リンタ » [lint.naming] セクション","id":"154","title":"[lint.naming] セクション"},"155":{"body":"RTLシミュレータによるテストは veryl test で実行することができます。サポートされているシミュレータは以下の通りです。 Verilator Synopsys VCS AMD Vivado Simulator Verilatorはデフォルトのシミュレータです。Veryl.tomlやコマンドラインオプションでシミュレータが指定されていない場合に使用されます。 設定可能な項目は以下の通りです。これは Veryl.toml の [test] セクションで指定できます。 [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » シミュレータ » シミュレータ","id":"155","title":"シミュレータ"},"156":{"body":"このセクションはテストの設定です。 設定 設定値 説明 simulator シミュレータ名 [1] デフォルトのシミュレータ 設定可能な値は以下です。 \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » シミュレータ » [test] セクション","id":"156","title":"[test] セクション"},"157":{"body":"このセクションはVerilatorによるテストの設定です。 設定 設定値 説明 compile_args [文字列] verilator コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.verilator] セクション","id":"157","title":"[test.verilator] セクション"},"158":{"body":"このセクションはVCSによるテストの設定です。 設定 設定値 説明 compile_args [文字列] vcs コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vcs] セクション","id":"158","title":"[test.vcs] セクション"},"159":{"body":"このセクションはVivadoによるテストの設定です。 設定 設定値 説明 compile_args [文字列] xvlog コマンドへの追加の引数 elaborate_args [文字列] xelab コマンドへの追加の引数 simulate_args [文字列] xsim コマンドへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vivado] セクション","id":"159","title":"[test.vivado] セクション"},"16":{"body":"三項演算子の代わりに if 式と case 式を採用することで、比較するアイテム数が多い場合の可読性が向上します。 SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"特徴 » if / case 式","id":"16","title":"if / case 式"},"160":{"body":"veryl-ls は言語サーバのバイナリです。使用するにはエディタの設定やプラグインが必要です。 設定可能な項目は以下の通りです。これは各エディタの設定から指定できます。 設定 設定値 デフォルト 説明 useOperatorCompletion ブーリアン false 演算子(例 '>:', '>>')の補完を有効にする","breadcrumbs":"Development Environment » 言語サーバ » 言語サーバ","id":"160","title":"言語サーバ"},"161":{"body":"いくつかのツールはサポートしていない SystemVerilog 構文があります。これをサポートするために、 Veryl.toml の設定でコード生成をカスタマイズすることができます。","breadcrumbs":"Development Environment » 互換性 » 互換性","id":"161","title":"互換性"},"162":{"body":"","breadcrumbs":"Development Environment » 互換性 » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivadoは string 型の parameter をサポートしていません。 parameter string a = \"A\"; その場合は implicit_parameter_types を設定してください。 [build]\nimplicit_parameter_types = [\"string\"] 設定すると生成コードは以下のようになります。 parameter a = \"A\";","breadcrumbs":"Development Environment » 互換性 » 文字列パラメータ","id":"163","title":"文字列パラメータ"},"164":{"body":"プロジェクトのドキュメントは veryl doc コマンドで生成することができます。全てのパブリックなモジュールとインターフェース、パッケージがリストアップされます。(参照 可視性 ) 詳細な説明を書きたい場合はドキュメンテーションコメントを追加することもできます。ドキュメンテーションコメントでは マークダウン 記法を使えます。 WaveDrom による波形記述もサポートされています。wavedrom コードブロック内で、WaveDromの構文を記述することができます。構文の詳細は チュートリアル を参照してください。 /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} 設定可能な項目は以下の通りです。これは Veryl.toml の [doc] セクションで指定できます。 [doc]\npath = \"document\" 設定 設定値 デフォルト 説明 path 文字列 \"doc\" 出力ディレクトリへのパス","breadcrumbs":"Development Environment » ドキュメンテーション » ドキュメンテーション","id":"164","title":"ドキュメンテーション"},"165":{"body":"ビルド済みのVerylバイナリをダウンロードするための公式GitHub actionが提供されています。 https://github.com/marketplace/actions/setup-veryl GitHub actionスクリプトの例は以下の通りです。 フォーマットとビルドチェック name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check GitHub Pagesからドキュメントを公開する name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Verilator によるテスト このために GitHub action veryl-lang/setup-verilator を公開しています。 name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"補遺 » 補遺","id":"166","title":"補遺"},"167":{"body":"Veryl のパーサはパーサジェネレータ parol を使っています。以下の parol の構文定義が正式な構文です。 %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » 構文 » 構文","id":"167","title":"構文"},"168":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » セマンティックエラー","id":"168","title":"セマンティックエラー"},"169":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"閉区間 ..= と半開区間 .. を表す記法を導入し、 for 、inside で範囲を統一的に記述できるようにしました。また、inside の逆を意味する outside も導入しました。 SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"特徴 » 範囲 for / inside / outside","id":"17","title":"範囲 for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"最上位ビットを示す msb 記法により、パラメータから最上位ビットを計算する必要がなくなり、より意図を明確にすることができます。 SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"特徴 » msb 記法","id":"18","title":"msb 記法"},"180":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"変数宣言と同時に値を束縛する専用の let 文が用意されており、SystemVerilogではサポートされていなかった様々な場所で使用することができます。 SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"特徴 » let 文","id":"19","title":"let 文"},"190":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"VerylはSystemVerilogとの相互運用性を考慮して設計されており、既存のSystemVerilogコンポーネントやプロジェクトとの組み合わせや部分的な置き換えをスムーズに行うことができます。さらに、VerylからトランスパイルされたSystemVerilogソースコードは、その高い可読性により、シームレスな統合やデバッグを可能にします。","breadcrumbs":"概要 » 相互運用性","id":"2","title":"相互運用性"},"20":{"body":"変数のスコープを限定するための名前付きブロックを定義することができます。 SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"特徴 » 名前付きブロック","id":"20","title":"名前付きブロック"},"21":{"body":"pub キーワードの付かないモジュールはプロジェクト外から参照できず、ドキュメントの自動生成にも含まれません。これによりプロジェクト外に公開したいものと内部実装とを区別することができます。 SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} いくつかのブラウザはデフォルトでGIF動画の再生を停止しています。ブラウザの設定を確認してください。","breadcrumbs":"特徴 » 可視性制御","id":"21","title":"可視性制御"},"22":{"body":"Veryl を使ってみましょう。この章では Veryl のインストール、サンプルプロジェクトの作成とビルドまでを行います。","breadcrumbs":"はじめに » はじめに","id":"22","title":"はじめに"},"23":{"body":"Veryl バイナリをダウンロードしてインストールできます。もし Rust ユーザであれば cargo コマンドでインストールすることもできます。","breadcrumbs":"Getting Started » インストール » インストール","id":"23","title":"インストール"},"24":{"body":"Veryl は git コマンドを内部で使用します。 git が起動できることを確認しておいてください。","breadcrumbs":"Getting Started » インストール » 要件","id":"24","title":"要件"},"25":{"body":"","breadcrumbs":"Getting Started » インストール » インストール方法の選択","id":"25","title":"インストール方法の選択"},"26":{"body":"リリースページ からダウンロードして、パスの通ったところに展開してください。","breadcrumbs":"Getting Started » インストール » バイナリのダウンロード","id":"26","title":"バイナリのダウンロード"},"27":{"body":"cargo コマンドからインストールすることもできます。 cargo install veryl veryl-ls","breadcrumbs":"Getting Started » インストール » Cargo","id":"27","title":"Cargo"},"28":{"body":"公式には Visual Studio Code と Vim / Neovim がサポートされています。","breadcrumbs":"Getting Started » インストール » エディタ設定","id":"28","title":"エディタ設定"},"29":{"body":"Visual Studio Code 向けに Veryl 拡張が提供されています。拡張はファイルタイプの検出とシンタックスハイライト、言語サーバの組み込みを提供します。拡張パネルから \"Veryl\" で検索するか、以下の URL からインストールしてください。 Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » インストール » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Verylはパッケージマネージャ、ビルドツール、そしてVSCode、Vim、Emacsなどの主要なエディタに対応するリアルタイムチェッカー、自動補完機能、自動フォーマッタなど、豊富な開発支援ツールを備えています。これらのツールは、開発プロセスを加速し、生産性を大幅に向上させることができます。 これらの特性により、Verylは設計者が高品質なハードウェア設計をより効率的かつ生産的に行うための強力なサポートを提供します。","breadcrumbs":"概要 » 生産性","id":"3","title":"生産性"},"30":{"body":"Vim / Neovim 向けに Veryl プラグインが提供されています。プラグインはファイルタイプの検出とシンタックスハイライトを提供します。プラグインのインストールと言語サーバの組み込みは以下の URL を参照してください。 Vim / Neovim plugin","breadcrumbs":"Getting Started » インストール » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl は言語サーバを提供しているので、言語サーバをサポートしているエディタ(例えば Emacs)であれば利用できます。","breadcrumbs":"Getting Started » インストール » そのほかのエディタ","id":"31","title":"そのほかのエディタ"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"まず始めに、新しい Veryl プロジェクトを作りましょう。 veryl new hello コマンドを実行すると、以下のようなディレクトリとファイルが作成されます。 $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml はプロジェクトの設定ファイルです。 [project]\nname = \"hello\"\nversion = \"0.1.0\" 全設定の説明は こちら 。","breadcrumbs":"Getting Started » Hello, World! » プロジェクトを作る","id":"33","title":"プロジェクトを作る"},"34":{"body":"ソースコードはプロジェクトディレクトリ内のどこに書いても構いません。これは Veryl プロジェクトが独立したプロジェクトである場合もあれば、他のSystemVerilog プロジェクトに組み込まれている場合もあるからです。Veryl のソースコードの拡張子は .veryl です。 例えば以下のコードを src/hello.veryl に書いてみましょう。 module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files 注:この本のいくつかのソースコードには、マウスをホバーすると現れるプレイボタン \"▶\" があります。ボタンをクリックすると、トランスパイルされた SystemVerilog のコードが現れます。module ModuleA のコードのボタンを押してみましょう。","breadcrumbs":"Getting Started » Hello, World! » コードを書く","id":"34","title":"コードを書く"},"35":{"body":"veryl build コマンドで SystemVerilog のソースコードを生成できます。 $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files デフォルトでは SystemVerilog のコードは Veryl のコードと同じディレクトリに生成されます。つまり src/hello.sv です。 module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule さらに、生成されたコードのファイルリスト hello.f も生成されます。これは SystemVerilog コンパイラで使用できます。 Verilator で使用するには以下のようにします。 $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » ビルドする","id":"35","title":"ビルドする"},"36":{"body":"Veryl は SystemVerilog とほとんど同じセマンティクスを持っています。もし SystemVerilog に慣れていれば、いくつかの例をみるだけで Veryl の構文をだいたい把握できるでしょう。 この小さな例では、コメントに SystemVerilog 構文との違いが書かれています。 module ModuleA ( // 識別子が先で `:` の後に型が来ます // ビット幅は `<>` で表されます i_data: input logic<10>, o_data: output logic<10>, // `begin`/`end` ではなく `{}` を使います\n) { assign o_data = i_data;\n} さらに、この章のコードブロックは編集することもできます。それぞれのコードを編集して実行してみましょう。 Veryl のソースコードは SystemVerilog と同様に、module、interface、package を持ちます。この章ではそれらの例を示します。","breadcrumbs":"コード例 » コード例","id":"36","title":"コード例"},"37":{"body":"// モジュール定義\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // 末尾カンマが可能です\n) ( i_clk : input clock , // `clock` はクロックのための特別な型です i_rst : input reset , // `reset` はリセットのための特別な型です i_sel : input logic , i_data: input logic [2], // `[]` は SystemVerilog のアンパック配列です o_data: output logic , // `<>` は SystemVerilog のパック配列です\n) { // ローカルパラメータ宣言 // モジュール内では `param` は使えません local ParamC: u32 = 10; // 変数宣言 var r_data0: logic; var r_data1: logic; // 値の束縛 let _w_data2: logic = i_data; // リセット付き always_ff 文 // `always_ff` はクロック(必須)とリセット(オプション)を持ちます // `if_reset` は `if (i_rst)` を意味し、リセット極性を隠蔽するための構文です // `if` 文に `()` はいりません // `always_ff` 内の `=` はノンブロッキング代入です always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // リセットなし always_ff 文 always_ff (i_clk) { r_data1 = r_data0; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » モジュール » モジュール","id":"37","title":"モジュール"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // インスタンス宣言 // インスタンス宣言は `inst` キーワードではじまります // ポート接続は `()` 内で指定します // 各ポートの接続は `[port_name]:[variable]` のような形式になります // `[port_name]` は `[port_name]:[port_name]` を意味します inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // パラメータオーバーライド付きインスタンス宣言 // パラメータの接続記法はポートと同様です inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » インスタンス » インスタンス","id":"38","title":"インスタンス"},"39":{"body":"// インターフェース定義\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport 定義 modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // modport によるポート宣言 intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // インターフェースのインスタンス inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » インターフェース » インターフェース","id":"39","title":"インターフェース"},"4":{"body":"この章ではVerylの特徴的な機能をわかりやすい例とともに紹介します。 リアルタイム診断 自動フォーマット 組み込みテスト 依存関係管理 ジェネリクス 末尾カンマ クロックとリセットの抽象化 ドキュメンテーションコメント always_ff での複合代入演算子 独立した名前空間を持つenumバリアント ビット連結における repeat if / case 式 範囲 for / inside / outside msb 記法 let 文 名前付きブロック 可視性制御","breadcrumbs":"特徴 » 特徴","id":"4","title":"特徴"},"40":{"body":"// パッケージ定義\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » パッケージ » パッケージ","id":"40","title":"パッケージ"},"41":{"body":"この章では Veryl の言語仕様について説明します。","breadcrumbs":"言語リファレンス » 言語リファレンス","id":"41","title":"言語リファレンス"},"42":{"body":"Veryl のソースコードはいくつかの module、interface、package からなります。 module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} トランスパイルされたコードにおける module、interface、package の名前には先頭にプロジェクト名が付きます。このサンプルコードでは project_ が付きます。これはプロジェクト間で名前が衝突するのを防ぐためです。","breadcrumbs":"Language Reference » ソースコードの構造 » ソースコードの構造","id":"42","title":"ソースコードの構造"},"43":{"body":"この章では Veryl の字句構造について説明します。まず始めに、全体的なことがらからです。","breadcrumbs":"Language Reference » 字句構造 » 字句構造","id":"43","title":"字句構造"},"44":{"body":"Veryl のソースコードは UTF-8 エンコーディングでなければなりません。","breadcrumbs":"Language Reference » 字句構造 » エンコーディング","id":"44","title":"エンコーディング"},"45":{"body":"(空白)、\\t、\\n は空白として扱われ、Veryl のパーサはこれらを全て無視します。","breadcrumbs":"Language Reference » 字句構造 » 空白","id":"45","title":"空白"},"46":{"body":"行コメントと複数行コメントが使えます。ほとんどのコメントはトランスパイルされたコードにも出力されます。 // 行コメント /*\n複数 行 コメント\n*/","breadcrumbs":"Language Reference » 字句構造 » コメント","id":"46","title":"コメント"},"47":{"body":"/// ではじまる行コメントはドキュメンテーションコメントとして扱われます。ドキュメンテーションコメントはドキュメントの生成に使われます。 /// ドキュメンテーションコメント","breadcrumbs":"Language Reference » 字句構造 » ドキュメンテーションコメント","id":"47","title":"ドキュメンテーションコメント"},"48":{"body":"識別子は ASCII のアルファベットと数値、 _ からなります。先頭が数値であってはなりません。正式な定義は以下の正規表現です。 [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » 字句構造 » 識別子","id":"48","title":"識別子"},"49":{"body":"\" で囲んだものが文字列になります。\\\" や \\n のように \\ によるエスケープも可能です。 \"Hello, World!\"","breadcrumbs":"Language Reference » 字句構造 » 文字列","id":"49","title":"文字列"},"5":{"body":"変数の未定義・未使用・未代入といった問題はエディタでの編集中にリアルタイムに通知されます。次の例では、未使用変数として通知された変数に _ プレフィックスを付加することで未使用であることを明示し、警告を抑制しています。 diagnostics ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » リアルタイム診断","id":"5","title":"リアルタイム診断"},"50":{"body":"ほとんどの演算子は SystemVerilog と同じです。いくつか違いがあるので注意してください。 <: 小なり演算子です。SystemVerilog の < と同じです。 >: 大なり演算子です。SystemVerilog の > と同じです。 // 単項算術演算\na = +1;\na = -1; // 単項論理演算\na = !1;\na = ~1; // 単項集約演算\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // 二項算術演算\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // シフト演算\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // 比較演算\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // ビット演算\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // 二項論理演算\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » 演算子 » 演算子","id":"50","title":"演算子"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 数値","id":"51","title":"数値"},"52":{"body":"// 整数\n0123456789\n01_23_45_67_89 // 2進数\n32'b01xzXZ\n32'b01_xz_XZ // 8進数\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // 10進数\n32'd0123456789\n32'd01_23_45_67_89 // 16進数\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 整数","id":"52","title":"整数"},"53":{"body":"// 全て 0\n'0 // 全て 1\n'1 // 全て x\n'x\n'X // 全て z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 全ビットのセット","id":"53","title":"全ビットのセット"},"54":{"body":"ビット幅指定は省略することができます。省略された場合、トランスパイルされたコードでは適切なビット幅が付与されます。 module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 幅なし整数","id":"54","title":"幅なし整数"},"55":{"body":"\"全ビットのセット\" にビット幅指定を付与することもできます。 module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 指定ビットのセット","id":"55","title":"指定ビットのセット"},"56":{"body":"// 浮動小数点数\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // 指数表記\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 浮動小数点数","id":"56","title":"浮動小数点数"},"57":{"body":"'{} は配列リテラルを表します。リテラル内には式、repeat キーワード、default キーワードを配置することができます。 module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » 配列リテラル » 配列リテラル","id":"57","title":"配列リテラル"},"58":{"body":"この章ではデータ型について説明します。","breadcrumbs":"Language Reference » データ型 » データ型","id":"58","title":"データ型"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 組み込み型","id":"59","title":"組み込み型"},"6":{"body":"エディタと連携した自動フォーマット機能のほか、コマンドラインでのフォーマットやCIでのフォーマットチェックも可能です。 format ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » 自動フォーマット","id":"6","title":"自動フォーマット"},"60":{"body":"logic は4値(0、1、x、z)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な4値データ型","id":"60","title":"幅指定可能な4値データ型"},"61":{"body":"bit は2値(0、1)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な2値データ型","id":"61","title":"幅指定可能な2値データ型"},"62":{"body":"整数型にはいくつかの種類があります。 u32:32ビットの符号なし整数 u64:64ビットの符号なし整数 i32:32ビットの符号付き整数 i64:64ビットの符号付き整数 module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 整数型","id":"62","title":"整数型"},"63":{"body":"浮動小数点数型にもいくつかの種類があります。 f32:32ビット浮動小数点数 f64:64ビット浮動小数点数 いずれも IEEE Std 754 準拠の表現です。 module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 浮動小数点数型","id":"63","title":"浮動小数点数型"},"64":{"body":"string は文字列を表す型です。 module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 文字列型","id":"64","title":"文字列型"},"65":{"body":"type は型の種類を表す型です。type 型の変数は param か local としてのみ定義可能です。 module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » Type型","id":"65","title":"Type型"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユーザ定義型","id":"66","title":"ユーザ定義型"},"67":{"body":"struct は複合データ型です。いくつかのフィールドを持つことができ、. 演算子を通してアクセスできます。 module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 構造体","id":"67","title":"構造体"},"68":{"body":"enum は列挙型です。名前の付いたバリアントを複数持ち、enum 型の変数にはそのバリアントのうち1つだけをセットできます。バリアント名は [enum name]::[variant name] の形式で指定可能です。それぞれのバリアントは対応する整数値を持ち、= で指定することができます。指定されなかった場合は自動的に割り当てられます。 module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 列挙型","id":"68","title":"列挙型"},"69":{"body":"union はパックされたタグなしの直和型で、SystemVerilog では packed union にトランスパイルされます。ユニオンのそれぞれのバリアントの幅は同じでなければなりません。 module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユニオン","id":"69","title":"ユニオン"},"7":{"body":"SystemVerilogで書かれたテストコードをVerylに埋め込み、veryl test コマンドで実行することができます。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"特徴 » 組み込みテスト","id":"7","title":"組み込みテスト"},"70":{"body":"type キーワードを使って、スカラー型や配列型への型エイリアスを定義することができます。 module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 型定義","id":"70","title":"型定義"},"71":{"body":"任意のデータ型に対して [] と付与することで配列を定義することができます。配列の長さは [] 内の値で指定します。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} [X, Y, Z,,,] のように多次元配列も定義できます。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » 配列 » 配列","id":"71","title":"配列"},"72":{"body":"clock はクロック配線を表す特別な型です。クロックの極性を指定するため以下の3種類があります。 clock: ビルド時の設定で指定される極性を持つクロック型 clock_posedge: 正極性のクロック型 clock_negedge: 負極性のクロック型 reset はリセット配線を表す特別な型です。リセットの極性と同期・非同期を指定するため以下の5種類があります。 reset: ビルド時の設定で指定される極性と同期性を持つリセット型 reset_async_high: 正極性の非同期リセット型 reset_async_low: 負極性の非同期リセット型 reset_sync_high: 正極性の同期リセット型 reset_sync_low: 負極性の同期リセット型 特別な要件がなければ、コードの再利用を高めるため clock と reset の使用を推奨します。 module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » クロックとリセット » クロックとリセット","id":"72","title":"クロックとリセット"},"73":{"body":"この章では式について説明します。式は変数や演算子、関数呼び出しなどを組み合わせたもので、評価して値を得ることができます。","breadcrumbs":"Language Reference » 式 » 式","id":"73","title":"式"},"74":{"body":"式内での演算子の優先順位は SystemVerilog とほとんど同じです。 演算子 結合性 優先順位 () [] :: . 左 高い + - ! ~ & ~& | ~| ^ ~^ ^~ (単項) 左 ** 左 * / % 左 + - (二項) 左 << >> <<< >>> 左 <: <= >: >= 左 == != === !== ==? !=? 左 & (二項) 左 ^ ~^ ^~ (二項) 左 |(二項) 左 && 左 || 左 = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= なし {} なし 低い","breadcrumbs":"Language Reference » Expression » 演算子の優先順位 » 演算子の優先順位","id":"74","title":"演算子の優先順位"},"75":{"body":"関数は function_name(argument) の形式で呼び出すことができます。$clog2 のような SystemVerilog のシステム関数も使えます。 module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » 関数呼び出し » 関数呼び出し","id":"75","title":"関数呼び出し"},"76":{"body":"{} はビット連結を表します。{} の中では repeat キーワードを使うことで指定されたオペランドを繰り返すこともできます。 module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » 連結 » 連結","id":"76","title":"連結"},"77":{"body":"if を用いた条件式を使えます。if キーワードの後に条件を示す節を置きますが、() で囲む必要はありません。if 式は常になんらかの値に評価される必要があるため else は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » if » if","id":"77","title":"if"},"78":{"body":"もう一つの条件式が case です。case は 式: 式 という形式の条件を複数持ちます。もし case キーワードの後の式と条件の左側の式が一致すれば、その条件の右側の式が返されます。default はそれ以外の条件が全て失敗したときに返される特別な条件です。case 式は常になんらかの値に評価される必要があるため default は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » case » case","id":"78","title":"case"},"79":{"body":"[] はビット選択演算子です。[] に式を指定すれば1ビットを選択できます。範囲選択する場合は [式:式] とします。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » ビット選択 » ビット選択","id":"79","title":"ビット選択"},"8":{"body":"Verylには依存関係の管理機能が組み込まれており、プロジェクト設定に以下のようにライブラリのリポジトリパスとバージョンを追加するだけで、簡単にライブラリを組み込むことができます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"特徴 » 依存関係管理","id":"8","title":"依存関係管理"},"80":{"body":"範囲は範囲演算子で指定できます。範囲演算子には以下の2種類があります。 ..:半開区間 ..=:閉区間 範囲は for 文などの場所で使うことができます。 module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » 範囲 » 範囲","id":"80","title":"範囲"},"81":{"body":"msb と lsb は [] によるビット選択で使用できます。msb はオペランドの最上位ビットを意味します。lsb はオペランドの最下位ビットを意味し、0と同じです。 module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » msb / lsb » msb / lsb","id":"81","title":"msb / lsb"},"82":{"body":"inside は 指定された式が {} で与えられた条件内にあるかどうかを調べます。条件は単一の式または 範囲 を指定できます。条件を満たすとき inside は 1 を、そうでなければ 0 を返します。outside はその逆です。 module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » inside / outside » inside / outside","id":"82","title":"inside / outside"},"83":{"body":"この章では文について説明します。文は always_ff や always_comb などいくつかの宣言で使用することができます。","breadcrumbs":"Language Reference » 文 » 文","id":"83","title":"文"},"84":{"body":"代入文は 変数 = 式; の形式です。SystemVerilog と異なり、always_comb でも always_ff でも代入演算子は = です。以下のような代入演算子もあります。 +=:加算代入 -=:減算代入 *=:乗算代入 /=:除算代入 %=:剰余代入 &=:ビットAND代入 |=:ビットOR代入 ^=:ビットXOR代入 <<=:論理左シフト代入 >>=:論理右シフト代入 <<<=:算術左シフト代入 >>>=:算術右シフト代入 module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » 代入 » 代入","id":"84","title":"代入"},"85":{"body":"関数呼び出しは文として使うこともできます。この場合、関数の戻り値は無視されます。 module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » 関数呼び出し » 関数呼び出し","id":"85","title":"関数呼び出し"},"86":{"body":"if は文として使うこともできます。if 式との違いは {} 内に文を書くことです。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » if » if","id":"86","title":"if"},"87":{"body":"case は文として使うこともできます。条件の右側は文になります。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » case » case","id":"87","title":"case"},"88":{"body":"for 文は繰り返しを表します。in キーワードの前にループ変数を、後に 範囲 を書きます。 break を使ってループを中断することもできます。 module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » for » for","id":"88","title":"for"},"89":{"body":"return 文は関数からの戻りを示します。return キーワードの後の式は関数の戻り値です。 module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » return » return","id":"89","title":"return"},"9":{"body":"ジェネリクスによるコード生成は従来のパラメータオーバーライドよりさらに再利用性の高いコードを記述することができます。以下の例のような関数のパラメータだけでなく、インスタンスのモジュール名や構造体定義の型名もパラメータ化することができます。 SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"特徴 » ジェネリクス","id":"9","title":"ジェネリクス"},"90":{"body":"let 文はある名前に値を束縛します。これは always_ff 、 always_comb および関数宣言の中で使うことができます。 let 文はブロック中のどこにでも置くことができます。 module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » let » let","id":"90","title":"let"},"91":{"body":"この章では宣言について説明します。","breadcrumbs":"Language Reference » 宣言 » 宣言","id":"91","title":"宣言"},"92":{"body":"変数宣言は var キーワードで始まり、変数名、:、変数の型と続きます。 未使用の変数は警告が発生します。_ で始まる変数名は未使用変数を意味し、警告を抑制します。 宣言時に名前に値を束縛する場合は var の代わりに let を使います。 module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » 変数 » 変数","id":"92","title":"変数"},"93":{"body":"パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。 module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » パラメータ » パラメータ","id":"93","title":"パラメータ"},"94":{"body":"レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。 if_reset は always_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml の [build] セクションで設定できます。 module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » レジスタ » レジスタ","id":"94","title":"レジスタ"},"95":{"body":"always_comb で代入される変数は組み合わせ回路を意味します。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » 組み合わせ回路 » 組み合わせ回路","id":"95","title":"組み合わせ回路"},"96":{"body":"assign 宣言で変数に式を代入することができます。 module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » assign » assign","id":"96","title":"assign"},"97":{"body":"関数は function キーワードで宣言できます。引数は () 内に書き、戻り値の型を -> の後に書きます。 関数が戻り値を持たない場合、-> は省略できます。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » 関数 » 関数","id":"97","title":"関数"},"98":{"body":"initial ブロック内の文はシミュレーション開始時に実行され、final は終了時です。どちらも論理合成では無視され、デバッグやアサーションに使うことができます。 module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » initial / final » initial / final","id":"98","title":"initial / final"},"99":{"body":"アトリビュートは変数宣言などいくつかの宣言に注釈を付けることができます。","breadcrumbs":"Language Reference » Declaration » アトリビュート » アトリビュート","id":"99","title":"アトリビュート"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.0},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":1.7320508075688772},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":1.7320508075688772}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":1,"docs":{"164":{"tf":2.23606797749979}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.4142135623730951},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.0},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.0}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.4142135623730951},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.4142135623730951},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.4142135623730951},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":1.7320508075688772}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":4.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.4142135623730951},"155":{"tf":1.7320508075688772},"156":{"tf":1.0},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":6,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"65":{"tf":2.449489742783178},"70":{"tf":2.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.4142135623730951},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":1.7320508075688772},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":27,"docs":{"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":2.23606797749979},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":6,"docs":{"28":{"tf":1.0},"29":{"tf":2.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":15,"docs":{"164":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":14,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"167":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.7320508075688772},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":11,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}},"t":{"df":13,"docs":{"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.449489742783178}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.7320508075688772},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.7320508075688772},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.7320508075688772},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":2.0}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":14,"docs":{"167":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":8,"docs":{"167":{"tf":4.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.7320508075688772},"155":{"tf":1.7320508075688772},"156":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":18,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":2.8284271247461903},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.23606797749979},"71":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.7320508075688772},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":2.0},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"96":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"128":{"tf":1.0}}},"df":0,"docs":{}}}}},"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"140":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"14":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"136":{"tf":1.0}}}},"df":0,"docs":{}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"122":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"121":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"139":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":2,"docs":{"138":{"tf":1.0},"156":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":1,"docs":{"65":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"123":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}} \ No newline at end of file +{"doc_urls":["01_introduction.html#ハードウェア記述言語-veryl","01_introduction.html#最適化された構文","01_introduction.html#相互運用性","01_introduction.html#生産性","02_features.html#特徴","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#はじめに","03_getting_started/01_installation.html#インストール","03_getting_started/01_installation.html#要件","03_getting_started/01_installation.html#インストール方法の選択","03_getting_started/01_installation.html#バイナリのダウンロード","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#エディタ設定","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#そのほかのエディタ","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#プロジェクトを作る","03_getting_started/02_hello_world.html#コードを書く","03_getting_started/02_hello_world.html#ビルドする","04_code_examples.html#コード例","04_code_examples/01_module.html#モジュール","04_code_examples/02_instantiation.html#インスタンス","04_code_examples/03_interface.html#インターフェース","04_code_examples/04_package.html#パッケージ","05_language_reference.html#言語リファレンス","05_language_reference/01_source_code_structure.html#ソースコードの構造","05_language_reference/02_lexical_structure.html#字句構造","05_language_reference/02_lexical_structure.html#エンコーディング","05_language_reference/02_lexical_structure.html#空白","05_language_reference/02_lexical_structure.html#コメント","05_language_reference/02_lexical_structure.html#ドキュメンテーションコメント","05_language_reference/02_lexical_structure.html#識別子","05_language_reference/02_lexical_structure.html#文字列","05_language_reference/02_lexical_structure/01_operator.html#演算子","05_language_reference/02_lexical_structure/02_number.html#数値","05_language_reference/02_lexical_structure/02_number.html#整数","05_language_reference/02_lexical_structure/02_number.html#全ビットのセット","05_language_reference/02_lexical_structure/02_number.html#幅なし整数","05_language_reference/02_lexical_structure/02_number.html#指定ビットのセット","05_language_reference/02_lexical_structure/02_number.html#浮動小数点数","05_language_reference/02_lexical_structure/03_array_literal.html#配列リテラル","05_language_reference/03_data_type.html#データ型","05_language_reference/03_data_type/01_builtin_type.html#組み込み型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な4値データ型","05_language_reference/03_data_type/01_builtin_type.html#幅指定可能な2値データ型","05_language_reference/03_data_type/01_builtin_type.html#整数型","05_language_reference/03_data_type/01_builtin_type.html#浮動小数点数型","05_language_reference/03_data_type/01_builtin_type.html#文字列型","05_language_reference/03_data_type/01_builtin_type.html#type型","05_language_reference/03_data_type/02_user_defined_type.html#ユーザ定義型","05_language_reference/03_data_type/02_user_defined_type.html#構造体","05_language_reference/03_data_type/02_user_defined_type.html#列挙型","05_language_reference/03_data_type/02_user_defined_type.html#ユニオン","05_language_reference/03_data_type/02_user_defined_type.html#型定義","05_language_reference/03_data_type/03_array.html#配列","05_language_reference/03_data_type/04_clock_reset.html#クロックとリセット","05_language_reference/04_expression.html#式","05_language_reference/04_expression/01_operator_precedence.html#演算子の優先順位","05_language_reference/04_expression/02_function_call.html#関数呼び出し","05_language_reference/04_expression/03_concatenation.html#連結","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#ビット選択","05_language_reference/04_expression/07_range.html#範囲","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#文","05_language_reference/05_statement/01_assignment.html#代入","05_language_reference/05_statement/02_function_call.html#関数呼び出し","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#宣言","05_language_reference/06_declaration/01_variable.html#変数","05_language_reference/06_declaration/02_parameter.html#パラメータ","05_language_reference/06_declaration/03_register.html#レジスタ","05_language_reference/06_declaration/04_combinational.html#組み合わせ回路","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#関数","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#アトリビュート","05_language_reference/06_declaration/08_attribute.html#sv-アトリビュート","05_language_reference/06_declaration/09_generate.html#生成","05_language_reference/06_declaration/10_instantiation.html#インスタンス","05_language_reference/06_declaration/11_named_block.html#名前付きブロック","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#モジュール","05_language_reference/08_interface.html#インターフェース","05_language_reference/09_package.html#パッケージ","05_language_reference/10_systemverilog_interoperation.html#systemverilogとの相互運用","05_language_reference/11_visibility.html#可視性","05_language_reference/12_foreign_language_integration.html#他言語組み込み","05_language_reference/12_foreign_language_integration.html#embed-宣言","05_language_reference/12_foreign_language_integration.html#include-宣言","05_language_reference/13_integrated_test.html#組み込みテスト","05_language_reference/14_generics.html#ジェネリクス","05_language_reference/14_generics.html#ジェネリック関数","05_language_reference/14_generics.html#ジェネリックモジュールインターフェース","05_language_reference/14_generics.html#ジェネリックパッケージ","05_language_reference/14_generics.html#ジェネリック構造体","06_development_environment.html#開発環境","06_development_environment/01_project_configuration.html#プロジェクト設定","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#依存関係","06_development_environment/02_dependencies.html#依存関係の使用","06_development_environment/02_dependencies.html#バージョン要求","06_development_environment/03_publish_project.html#プロジェクトを公開する","06_development_environment/03_publish_project.html#バージョンを上げる","06_development_environment/03_publish_project.html#設定","06_development_environment/04_directory_layout.html#ディレクトリ構成","06_development_environment/04_directory_layout.html#単一のソースディレクトリ","06_development_environment/04_directory_layout.html#単一のソースとターゲットディレクトリ","06_development_environment/04_directory_layout.html#マルチソースディレクトリ","06_development_environment/04_directory_layout.html#gitignore-について","06_development_environment/05_formatter.html#フォーマッタ","06_development_environment/06_linter.html#リンタ","06_development_environment/06_linter.html#lintnaming-セクション","06_development_environment/07_simulator.html#シミュレータ","06_development_environment/07_simulator.html#test-セクション","06_development_environment/07_simulator.html#testverilator-セクション","06_development_environment/07_simulator.html#testvcs-セクション","06_development_environment/07_simulator.html#testvivado-セクション","06_development_environment/08_language_server.html#言語サーバ","06_development_environment/09_compatibility.html#互換性","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#文字列パラメータ","06_development_environment/10_documentation.html#ドキュメンテーション","06_development_environment/11_github_action.html#github-action","07_appendix.html#補遺","07_appendix/01_formal_syntax.html#構文","07_appendix/02_semantic_error.html#セマンティックエラー","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":3,"breadcrumbs":1,"title":1},"1":{"body":0,"breadcrumbs":0,"title":0},"10":{"body":20,"breadcrumbs":0,"title":0},"100":{"body":13,"breadcrumbs":4,"title":1},"101":{"body":14,"breadcrumbs":3,"title":0},"102":{"body":36,"breadcrumbs":3,"title":0},"103":{"body":10,"breadcrumbs":3,"title":0},"104":{"body":35,"breadcrumbs":7,"title":2},"105":{"body":31,"breadcrumbs":2,"title":0},"106":{"body":27,"breadcrumbs":2,"title":0},"107":{"body":7,"breadcrumbs":2,"title":0},"108":{"body":17,"breadcrumbs":4,"title":1},"109":{"body":11,"breadcrumbs":2,"title":0},"11":{"body":35,"breadcrumbs":0,"title":0},"110":{"body":0,"breadcrumbs":2,"title":0},"111":{"body":13,"breadcrumbs":3,"title":1},"112":{"body":4,"breadcrumbs":3,"title":1},"113":{"body":25,"breadcrumbs":2,"title":0},"114":{"body":1,"breadcrumbs":2,"title":0},"115":{"body":15,"breadcrumbs":2,"title":0},"116":{"body":17,"breadcrumbs":2,"title":0},"117":{"body":15,"breadcrumbs":2,"title":0},"118":{"body":27,"breadcrumbs":2,"title":0},"119":{"body":0,"breadcrumbs":0,"title":0},"12":{"body":20,"breadcrumbs":0,"title":0},"120":{"body":21,"breadcrumbs":2,"title":0},"121":{"body":4,"breadcrumbs":3,"title":1},"122":{"body":2,"breadcrumbs":3,"title":1},"123":{"body":4,"breadcrumbs":3,"title":1},"124":{"body":9,"breadcrumbs":3,"title":1},"125":{"body":1,"breadcrumbs":3,"title":1},"126":{"body":10,"breadcrumbs":3,"title":1},"127":{"body":5,"breadcrumbs":3,"title":1},"128":{"body":1,"breadcrumbs":3,"title":1},"129":{"body":3,"breadcrumbs":3,"title":1},"13":{"body":20,"breadcrumbs":1,"title":1},"130":{"body":5,"breadcrumbs":3,"title":1},"131":{"body":5,"breadcrumbs":3,"title":1},"132":{"body":14,"breadcrumbs":3,"title":1},"133":{"body":8,"breadcrumbs":3,"title":1},"134":{"body":6,"breadcrumbs":3,"title":1},"135":{"body":6,"breadcrumbs":3,"title":1},"136":{"body":1,"breadcrumbs":3,"title":1},"137":{"body":1,"breadcrumbs":3,"title":1},"138":{"body":1,"breadcrumbs":3,"title":1},"139":{"body":1,"breadcrumbs":3,"title":1},"14":{"body":20,"breadcrumbs":1,"title":1},"140":{"body":1,"breadcrumbs":3,"title":1},"141":{"body":25,"breadcrumbs":2,"title":0},"142":{"body":26,"breadcrumbs":2,"title":0},"143":{"body":20,"breadcrumbs":2,"title":0},"144":{"body":54,"breadcrumbs":2,"title":0},"145":{"body":39,"breadcrumbs":2,"title":0},"146":{"body":16,"breadcrumbs":2,"title":0},"147":{"body":1,"breadcrumbs":2,"title":0},"148":{"body":36,"breadcrumbs":2,"title":0},"149":{"body":25,"breadcrumbs":2,"title":0},"15":{"body":17,"breadcrumbs":1,"title":1},"150":{"body":24,"breadcrumbs":2,"title":0},"151":{"body":7,"breadcrumbs":3,"title":1},"152":{"body":9,"breadcrumbs":2,"title":0},"153":{"body":9,"breadcrumbs":2,"title":0},"154":{"body":171,"breadcrumbs":3,"title":1},"155":{"body":14,"breadcrumbs":2,"title":0},"156":{"body":5,"breadcrumbs":3,"title":1},"157":{"body":4,"breadcrumbs":3,"title":1},"158":{"body":4,"breadcrumbs":3,"title":1},"159":{"body":7,"breadcrumbs":3,"title":1},"16":{"body":28,"breadcrumbs":1,"title":1},"160":{"body":4,"breadcrumbs":2,"title":0},"161":{"body":2,"breadcrumbs":2,"title":0},"162":{"body":0,"breadcrumbs":3,"title":1},"163":{"body":10,"breadcrumbs":2,"title":0},"164":{"body":75,"breadcrumbs":2,"title":0},"165":{"body":87,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":0,"title":0},"167":{"body":2247,"breadcrumbs":1,"title":0},"168":{"body":0,"breadcrumbs":1,"title":0},"169":{"body":0,"breadcrumbs":2,"title":1},"17":{"body":28,"breadcrumbs":2,"title":2},"170":{"body":0,"breadcrumbs":2,"title":1},"171":{"body":0,"breadcrumbs":2,"title":1},"172":{"body":0,"breadcrumbs":2,"title":1},"173":{"body":0,"breadcrumbs":2,"title":1},"174":{"body":0,"breadcrumbs":2,"title":1},"175":{"body":0,"breadcrumbs":2,"title":1},"176":{"body":0,"breadcrumbs":2,"title":1},"177":{"body":0,"breadcrumbs":2,"title":1},"178":{"body":0,"breadcrumbs":2,"title":1},"179":{"body":0,"breadcrumbs":2,"title":1},"18":{"body":18,"breadcrumbs":1,"title":1},"180":{"body":0,"breadcrumbs":2,"title":1},"181":{"body":0,"breadcrumbs":2,"title":1},"182":{"body":0,"breadcrumbs":2,"title":1},"183":{"body":0,"breadcrumbs":2,"title":1},"184":{"body":0,"breadcrumbs":2,"title":1},"185":{"body":0,"breadcrumbs":2,"title":1},"186":{"body":0,"breadcrumbs":2,"title":1},"187":{"body":0,"breadcrumbs":2,"title":1},"188":{"body":0,"breadcrumbs":2,"title":1},"189":{"body":0,"breadcrumbs":2,"title":1},"19":{"body":22,"breadcrumbs":0,"title":0},"190":{"body":0,"breadcrumbs":2,"title":1},"191":{"body":0,"breadcrumbs":2,"title":1},"192":{"body":0,"breadcrumbs":2,"title":1},"193":{"body":0,"breadcrumbs":2,"title":1},"2":{"body":0,"breadcrumbs":0,"title":0},"20":{"body":7,"breadcrumbs":0,"title":0},"21":{"body":14,"breadcrumbs":0,"title":0},"22":{"body":2,"breadcrumbs":0,"title":0},"23":{"body":3,"breadcrumbs":2,"title":0},"24":{"body":3,"breadcrumbs":2,"title":0},"25":{"body":0,"breadcrumbs":2,"title":0},"26":{"body":0,"breadcrumbs":2,"title":0},"27":{"body":6,"breadcrumbs":3,"title":1},"28":{"body":5,"breadcrumbs":2,"title":0},"29":{"body":11,"breadcrumbs":5,"title":3},"3":{"body":0,"breadcrumbs":0,"title":0},"30":{"body":7,"breadcrumbs":4,"title":2},"31":{"body":2,"breadcrumbs":2,"title":0},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":25,"breadcrumbs":4,"title":0},"34":{"body":20,"breadcrumbs":4,"title":0},"35":{"body":44,"breadcrumbs":4,"title":0},"36":{"body":20,"breadcrumbs":0,"title":0},"37":{"body":75,"breadcrumbs":2,"title":0},"38":{"body":78,"breadcrumbs":2,"title":0},"39":{"body":56,"breadcrumbs":2,"title":0},"4":{"body":7,"breadcrumbs":0,"title":0},"40":{"body":24,"breadcrumbs":2,"title":0},"41":{"body":1,"breadcrumbs":0,"title":0},"42":{"body":12,"breadcrumbs":2,"title":0},"43":{"body":1,"breadcrumbs":2,"title":0},"44":{"body":3,"breadcrumbs":2,"title":0},"45":{"body":2,"breadcrumbs":2,"title":0},"46":{"body":0,"breadcrumbs":2,"title":0},"47":{"body":0,"breadcrumbs":2,"title":0},"48":{"body":7,"breadcrumbs":2,"title":0},"49":{"body":3,"breadcrumbs":2,"title":0},"5":{"body":3,"breadcrumbs":0,"title":0},"50":{"body":68,"breadcrumbs":4,"title":0},"51":{"body":0,"breadcrumbs":4,"title":0},"52":{"body":14,"breadcrumbs":4,"title":0},"53":{"body":10,"breadcrumbs":4,"title":0},"54":{"body":18,"breadcrumbs":4,"title":0},"55":{"body":18,"breadcrumbs":4,"title":0},"56":{"body":5,"breadcrumbs":4,"title":0},"57":{"body":27,"breadcrumbs":4,"title":0},"58":{"body":0,"breadcrumbs":2,"title":0},"59":{"body":0,"breadcrumbs":4,"title":0},"6":{"body":2,"breadcrumbs":0,"title":0},"60":{"body":18,"breadcrumbs":5,"title":1},"61":{"body":18,"breadcrumbs":5,"title":1},"62":{"body":18,"breadcrumbs":4,"title":0},"63":{"body":13,"breadcrumbs":4,"title":0},"64":{"body":5,"breadcrumbs":4,"title":0},"65":{"body":17,"breadcrumbs":5,"title":1},"66":{"body":0,"breadcrumbs":4,"title":0},"67":{"body":22,"breadcrumbs":4,"title":0},"68":{"body":17,"breadcrumbs":4,"title":0},"69":{"body":24,"breadcrumbs":4,"title":0},"7":{"body":15,"breadcrumbs":0,"title":0},"70":{"body":15,"breadcrumbs":4,"title":0},"71":{"body":99,"breadcrumbs":4,"title":0},"72":{"body":68,"breadcrumbs":4,"title":0},"73":{"body":0,"breadcrumbs":2,"title":0},"74":{"body":1,"breadcrumbs":3,"title":0},"75":{"body":22,"breadcrumbs":3,"title":0},"76":{"body":20,"breadcrumbs":3,"title":0},"77":{"body":14,"breadcrumbs":3,"title":0},"78":{"body":26,"breadcrumbs":5,"title":1},"79":{"body":16,"breadcrumbs":3,"title":0},"8":{"body":4,"breadcrumbs":0,"title":0},"80":{"body":9,"breadcrumbs":3,"title":0},"81":{"body":18,"breadcrumbs":7,"title":2},"82":{"body":29,"breadcrumbs":7,"title":2},"83":{"body":2,"breadcrumbs":2,"title":0},"84":{"body":34,"breadcrumbs":3,"title":0},"85":{"body":5,"breadcrumbs":3,"title":0},"86":{"body":16,"breadcrumbs":3,"title":0},"87":{"body":26,"breadcrumbs":5,"title":1},"88":{"body":10,"breadcrumbs":3,"title":0},"89":{"body":9,"breadcrumbs":5,"title":1},"9":{"body":57,"breadcrumbs":0,"title":0},"90":{"body":35,"breadcrumbs":3,"title":0},"91":{"body":0,"breadcrumbs":2,"title":0},"92":{"body":33,"breadcrumbs":3,"title":0},"93":{"body":11,"breadcrumbs":3,"title":0},"94":{"body":43,"breadcrumbs":3,"title":0},"95":{"body":11,"breadcrumbs":3,"title":0},"96":{"body":7,"breadcrumbs":5,"title":1},"97":{"body":24,"breadcrumbs":3,"title":0},"98":{"body":8,"breadcrumbs":7,"title":2},"99":{"body":0,"breadcrumbs":3,"title":0}},"docs":{"0":{"body":"Veryl Veryl は SystemVerilog をベースに設計されたハードウェア記述言語であり、以下のような特徴があります。","breadcrumbs":"概要 » ハードウェア記述言語 Veryl","id":"0","title":"ハードウェア記述言語 Veryl"},"1":{"body":"Verylは、SystemVerilogの経験者にとって親しみやすい基本構文に基づきながら、論理設計に最適化された構文を採用しています。この最適化には、たとえば合成可能性の保証やシミュレーション結果の一致の保証、頻出する定型文を簡素化する多数の構文などの提供が含まれます。このアプローチにより、学習の容易さ、設計プロセスの信頼性と効率の向上、およびコードの記述の容易さが実現されます。","breadcrumbs":"概要 » 最適化された構文","id":"1","title":"最適化された構文"},"10":{"body":"末尾カンマは、リストの最後の要素の後ろにカンマが置かれる構文です。これにより、要素の追加や削除が容易になり、バージョン管理システムにおける不必要な差異を減らすことができます。 SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"特徴 » 末尾カンマ","id":"10","title":"末尾カンマ"},"100":{"body":"SV アトリビュートは SystemVerilog のアトリビュートを表し、(* *) という形式の SystemVerilog アトリビュートに変換されます。 module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » アトリビュート » SV アトリビュート","id":"100","title":"SV アトリビュート"},"101":{"body":"宣言や for や if を使って生成することができます。: で示すラベルは生成された複数の宣言を識別するのに必要です。 module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // else 句のラベルは省略可能 assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » 生成 » 生成","id":"101","title":"生成"},"102":{"body":"inst キーワードはモジュールやインターフェースのインスタンス化を表します。インスタンスの名前は inst の後に、インスタンスの型は : の後に書きます。#() でパラメータオーバーライドを、() でポート接続を表します。 module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // 名前によるパラメータ代入 paramB: 10, ) ( a , // 名前によるポート接続 bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » インスタンス » インスタンス","id":"102","title":"インスタンス"},"103":{"body":"{} ブロックにラベルを付けることができます。そのような名前付きブロックは独立した名前空間を持ちます。 module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » 名前付きブロック » 名前付きブロック","id":"103","title":"名前付きブロック"},"104":{"body":"import 宣言は他のパッケージからシンボルをインポートします。モジュール、インターフェース、パッケージの要素としてだけでなくトップレベルにも配置することができます。import 宣言の引数には package::* のようなワイルドカードパターンを使用することができます。 // ファイルスコープインポート\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export 宣言は宣言したパッケージからシンボルをエクスポートします。全てのシンボルをエクスポートするには export * を使用します。 package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"モジュールはソースコードの最上位コンポーネントの1つです。モジュールはオーバーライド可能なパラメータ、接続ポート、内部ロジックを持ちます。 オーバーライド可能なパラメータは #() 内で宣言できます。それぞれのパラメータ宣言は param キーワードで始まり、識別子、:、パラメータの型、デフォルト値で構成されます。 接続ポートは () 内で宣言できます。それぞれのポート宣言は識別子、:、ポートの方向、ポートの型で構成されます。利用可能なポート方向は以下の通りです。 input:入力ポート output:出力ポート inout:双方向ポート modport:インターフェースのmodport module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » モジュール » モジュール","id":"105","title":"モジュール"},"106":{"body":"インターフェースはソースコードの最上位コンポーネントの1つです。インターフェースはオーバーライド可能なパラメータ、インターフェース定義を持ちます。 オーバーライド可能なパラメータについてはモジュールと同じです。 インターフェース定義では modport を宣言することができます。modport はモジュールのポート宣言で、ポートを束ねて接続するために使うことができます。 interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » インターフェース » インターフェース","id":"106","title":"インターフェース"},"107":{"body":"パッケージはソースコードの最上位コンポーネントの1つです。パッケージはパラメータや関数などいくつかの宣言をまとめることができます。 パッケージ内の要素にアクセスするには、:: 記号を使って PackageA::ParamA のようにします。 package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » パッケージ » パッケージ","id":"107","title":"パッケージ"},"108":{"body":"SystemVerilogの要素にアクセスする場合は $sv 名前空間を使えます。例えば、SystemVerilogソースコードの \"ModuleA\" は $sv::ModuleA です。Veryl はこれらの要素が実際に存在するかどうかは確認しません。 module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilogとの相互運用 » SystemVerilogとの相互運用","id":"108","title":"SystemVerilogとの相互運用"},"109":{"body":"デフォルトではプロジェクトのトップレベルアイテム(モジュール、インターフェース、パッケージ)はプライベートです。プライベートとは他のプロジェクトから参照できないことを意味します。 pub キーワードによって他のプロジェクトから見えるように指定することができます。veryl doc コマンドはパブリックなアイテムの ドキュメント のみを生成します。 pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » 可視性 » 可視性","id":"109","title":"可視性"},"11":{"body":"クロックの極性やリセットの極性と同期性を構文上指定する必要はなく、ビルド時の設定で指定することができます。これにより同じVerylのコードからASIC向けの負極性・非同期リセットとFPGA向けの正極性・同期リセットのそれぞれのコードを生成することができます。 さらに、明示的な clock と reset 型により、レジスタへのクロック・リセット接続が正しく行われているかどうかを確認することができます。モジュール内にクロックとリセットが1つだけの場合、レジスタへの接続を省略することもできます。 SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff { if_reset { } else { } }\n}","breadcrumbs":"特徴 » クロックとリセットの抽象化","id":"11","title":"クロックとリセットの抽象化"},"110":{"body":"","breadcrumbs":"Language Reference » 他言語組み込み » 他言語組み込み","id":"110","title":"他言語組み込み"},"111":{"body":"embed 宣言により他言語をコードに埋め込むことができます。embed 宣言の第一引数は埋め込み方法です。以下の方法がサポートされています。 inline: コードをそのまま展開する コードブロックは lang{{{ で始まり、}}} で終わります。以下の lang 指示子がサポートされています。 sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » 他言語組み込み » embed 宣言","id":"111","title":"embed 宣言"},"112":{"body":"include 宣言により他言語のファイルを含めることができます。include 宣言の第一引数は embed 宣言と同じです。第二引数はソースコードからの相対ファイルパスです。 include(inline, \"module.sv\");","breadcrumbs":"Language Reference » 他言語組み込み » include 宣言","id":"112","title":"include 宣言"},"113":{"body":"組み込みテストは #[test(test_name)] アトリビュートでマークすることができます。マークされたブロックはテストとして認識され、 veryl test コマンドによって実行されます。ブロックのトップレベルモジュールはテスト名と同じでなければなりません。 $info、$warning、$error、$fatal システム関数によるメッセージは Veryl コンパイラにより実行ログとして表示されます。$error と $fatal の呼び出しはテストの失敗として扱われます。 以下の例では SystemVerilog のソースコードを embed 宣言で埋め込み、テストとしてマークしています。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} veryl test で使用される RTLシミュレータについては シミュレータ を参照してください。","breadcrumbs":"Language Reference » 組み込みテスト » 組み込みテスト","id":"113","title":"組み込みテスト"},"114":{"body":"ジェネリクスはパラメータオーバーライドでは実現できないアイテムのパラメータ化を可能にします。以下のアイテムがジェネリクスをサポートしています。 関数 モジュール インターフェース パッケージ 構造体 ユニオン それぞれのジェネリック定義はジェネリックパラメータ(T のような大文字1文字がよく使われます)を持ち、定義内で識別子や式として配置できます。ジェネリックパラメータはアイテムの識別子の後に ::<> を用いて宣言します。 ジェネリクスを使用するためには ::<> を用いて実パラメータを与えます。実パラメータとしては数値リテラルと :: で連結された識別子を使用することができます。 さらに、実パラメータはジェネリクス定義位置から参照できなければなりません。例えば、モジュール名はプロジェクト全体から参照できるので、実パラメータとして使用できます。一方、ローカルパラメータは多くの場合、実パラメータとして使用できません。これはローカルパラメータがジェネリクス定義位置からは参照できない場合に発生します。","breadcrumbs":"Language Reference » ジェネリクス » ジェネリクス","id":"114","title":"ジェネリクス"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック関数","id":"115","title":"ジェネリック関数"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックモジュール/インターフェース","id":"116","title":"ジェネリックモジュール/インターフェース"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリックパッケージ","id":"117","title":"ジェネリックパッケージ"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // ローカルに定位された型が使用できています // これは `TypeA` が `StructA` の定義位置から参照できるためです var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » ジェネリクス » ジェネリック構造体","id":"118","title":"ジェネリック構造体"},"119":{"body":"この章ではプロジェクト設定や開発ツールなど開発環境について説明します。","breadcrumbs":"開発環境 » 開発環境","id":"119","title":"開発環境"},"12":{"body":"ドキュメンテーションコメントとしてモジュールの説明を書いておくとドキュメントを自動生成することができます。単なるテキストだけでなく、マークダウン形式や WaveDrom による波形記述を使用することができます。 SystemVerilog\nVeryl // コメント\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"特徴 » ドキュメンテーションコメント","id":"12","title":"ドキュメンテーションコメント"},"120":{"body":"[project] --- プロジェクト定義 name --- プロジェクトの名前 version --- プロジェクトのバージョン authors --- プロジェクトの作者 description --- プロジェクトの説明 license --- プロジェクトのライセンス repository --- プロジェクトのリポジトリの URL [build] --- ビルド設定 clock_type --- クロックタイプ reset_type --- リセットタイプ filelist_type --- ファイルリストのタイプ target --- 出力方法 implicit_parameter_types --- 暗黙のパラメータ型を有効にするかどうか omit_project_prefix --- プロジェクト名のプレフィックスを省略するかどうか strip_comments --- コメントを削除するかどうか [format] --- フォーマット設定 [lint] --- リント設定 [test] --- テスト設定 [publish] --- 公開設定 [dependencies] --- ライブラリの依存関係","breadcrumbs":"Development Environment » プロジェクト設定 » プロジェクト設定","id":"120","title":"プロジェクト設定"},"121":{"body":"Veryl.toml の最初のセクションは [project] です。name と version は必須です。","breadcrumbs":"Development Environment » プロジェクト設定 » [project] セクション","id":"121","title":"[project] セクション"},"122":{"body":"プロジェクト名は生成されるコードのプレフィックスに使われます。そのためプロジェクト名はアルファベットか _ で始まり、英数字と_ しか使ってはいけません。","breadcrumbs":"Development Environment » プロジェクト設定 » name フィールド","id":"122","title":"name フィールド"},"123":{"body":"プロジェクトのバージョンは セマンティックバージョニング に従います。バージョンは以下の3つの数字からなります。 メジャー -- 互換性のない変更時に上げる マイナー -- 互換性のある機能追加時に上げる バッチ -- 互換性のあるバグ修正時に上げる [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » version フィールド","id":"123","title":"version フィールド"},"124":{"body":"オプションの authors フィールドにはこのプロジェクトの作者である人や組織を配列にリストアップします。配列内の各文字列のフォーマットは自由です。名前のみ、Eメールアドレスのみ、名前と括弧で囲んだEメールアドレスといった形式がよく使われます。 [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » プロジェクト設定 » authors フィールド","id":"124","title":"authors フィールド"},"125":{"body":"description はプロジェクトの短い説明です。マークダウンではなくプレーンテキスト形式で書きます。","breadcrumbs":"Development Environment » プロジェクト設定 » description フィールド","id":"125","title":"description フィールド"},"126":{"body":"license フィールドはこのプロジェクトがどのライセンスで公開されているかを指定します。指定する文字列は SPDX 2.3 license expression に従ってください。 [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » プロジェクト設定 » license フィールド","id":"126","title":"license フィールド"},"127":{"body":"repository フィールドはプロジェクトのソースリポジトリへのURLです。 [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » プロジェクト設定 » repository フィールド","id":"127","title":"repository フィールド"},"128":{"body":"[build] セクションはコード生成の設定です。","breadcrumbs":"Development Environment » プロジェクト設定 » [build] セクション","id":"128","title":"[build] セクション"},"129":{"body":"clock_type フィールドはフリップフロップを駆動するクロックエッジを指定します。 posedge -- 立ち上がりエッジ negedge -- 立ち下がりエッジ","breadcrumbs":"Development Environment » プロジェクト設定 » clock_type フィールド","id":"129","title":"clock_type フィールド"},"13":{"body":"ノンブロッキング専用の代入演算子はなく、always_ff 内ではノンブロッキング代入が、 always_comb 内ではブロッキング代入が推論されます。そのため always_ff 内でも always_comb 内と同様に様々な複合代入演算子を使用することができます。 SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"特徴 » always_ff での複合代入演算子","id":"13","title":"always_ff での複合代入演算子"},"130":{"body":"reset_type フィールドはリセットの極性と同期性を指定します。 async_low -- 非同期・負極性 async_high -- 非同期・正極性 sync_low -- 同期・負極性 sync_high -- 同期・正極性","breadcrumbs":"Development Environment » プロジェクト設定 » reset_type フィールド","id":"130","title":"reset_type フィールド"},"131":{"body":"filelist_type フィールドはファイルリストのフォーマットを指定します。 absolute -- プレーンテキスト形式の絶対パスのリスト relative -- プレーンテキスト形式の相対パスのリスト flgen -- flgen 形式のファイルリスト","breadcrumbs":"Development Environment » プロジェクト設定 » filelist_type フィールド","id":"131","title":"filelist_type フィールド"},"132":{"body":"target フィールドはコードの生成先を指定します。 source -- ソースコードと同じディレクトリ directory -- 特定のディレクトリ bundle -- 特定のファイル directory あるいは bundle を指定する場合は、ターゲットパスを path キーで指定します。 [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » プロジェクト設定 » target フィールド","id":"132","title":"target フィールド"},"133":{"body":"implicit_parameter_types フィールドは生成コードの parameter 宣言で省略する型をリストアップします。いくつかのEDAツールでは特定の型(例えば string)を parameter 宣言で使うことができないためです。例えば string を指定する場合は以下のようにします。 [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » プロジェクト設定 » implicit_parameter_types フィールド","id":"133","title":"implicit_parameter_types フィールド"},"134":{"body":"omit_project_prefix が true のとき、モジュール・インターフェース・パッケージ名のプロジェクトプレフィックスは省略されます。この値はデフォルトで false です。 [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » プロジェクト設定 » omit_project_prefix フィールド","id":"134","title":"omit_project_prefix フィールド"},"135":{"body":"strip_comments が true のとき、コメント出力は省略されます。この値はデフォルトで false です。 [build]\nstrip_comments = true","breadcrumbs":"Development Environment » プロジェクト設定 » strip_comments フィールド","id":"135","title":"strip_comments フィールド"},"136":{"body":"[format] セクションはコードフォーマッターの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [format] セクション","id":"136","title":"[format] セクション"},"137":{"body":"[lint] セクションはリンタの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [lint] セクション","id":"137","title":"[lint] セクション"},"138":{"body":"[test] セクションはRTLシミュレータによるテストの設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [test] セクション","id":"138","title":"[test] セクション"},"139":{"body":"[publish] セクションはプロジェクト公開の設定です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [publish] セクション","id":"139","title":"[publish] セクション"},"14":{"body":"enumのバリアントはenum毎に独立した名前空間を持っており意図しない名前の衝突を防ぐことができます。 SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"特徴 » 独立した名前空間を持つenumバリアント","id":"14","title":"独立した名前空間を持つenumバリアント"},"140":{"body":"[dependencies] セクションはライブラリの依存関係です。詳細は こちら 。","breadcrumbs":"Development Environment » プロジェクト設定 » [dependencies] セクション","id":"140","title":"[dependencies] セクション"},"141":{"body":"他の Veryl プロジェクトへの依存関係をプロジェクトに追加したい場合、Veryl.toml に [dependencies] セクションを追加します。エントリの左辺は依存関係へのパス、右辺はバージョンです。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" デフォルトでは依存関係の名前空間はそのプロジェクト名と同じです。もし名前空間を指定したい場合は、 name フィールドを使います。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} 同じ依存関係の複数のバージョンを使う場合は、以下のようにそれぞれの名前を指定できます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » 依存関係 » 依存関係","id":"141","title":"依存関係"},"142":{"body":"Veryl.toml に依存関係を追加したあとは、その依存関係の module、interface、packageを使うことができます。以下は veryl_sample の依存関係に含まれる delay モジュールを使った例です。 module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} 注:上記のコードのプレイボタンの結果は依存関係解決を行わないので正確ではありません。実際のモジュール名は veryl_samlle_delay になります。","breadcrumbs":"Development Environment » 依存関係 » 依存関係の使用","id":"142","title":"依存関係の使用"},"143":{"body":"[dependencies] セクションの version フィールドはバージョン要求を示します。例えば、version = \"0.1.0\" は 0.1.0 と互換性のある最新バージョンを意味します。互換性は セマンティックバージョニング で判定されます。バージョンは以下の3つの部分からなります。 メジャー バージョンはAPI非互換な変更 マイナー バージョンは互換性のある機能追加 パッチ バージョンは互換性のあるバグ修正 もし メジャー バージョンが 0 なら、マイナー が非互換変更と解釈されます。 バージョン 0.1.0、0.1.1、0.2.0があった場合、0.1.1 が選択されます。これは以下のように決定されます。 0.1.0 は 0.1.0 と互換性がある 0.1.1 は 0.1.0 と互換性がある 0.2.0 は 0.1.0 と互換性がない 0.1.1 は互換性のある最新バージョン version フィールドは =0.1.0 のような指定も可能です。詳細は Rust のバージョン要求についてのドキュメントを参照してください。 Specifying Dependencies .","breadcrumbs":"Development Environment » 依存関係 » バージョン要求","id":"143","title":"バージョン要求"},"144":{"body":"プロジェクトを公開するには veryl publish コマンドを使います。公開とはバージョン番号とgitのリビジョンを紐づけることです。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish は以下のように公開されたバージョンの情報を含んだ Veryl.pub というファイルを生成します。 [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" Veryl.pub と生成した後、gitのadd、commit、pushを行えば公開手続きは完了です。gitブランチはデフォルトブランチでなければなりません。これは Veryl が Veryl.pub をデフォルトブランチから探すためです。 $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push Veryl.toml の [publish] セクションに publish_commit を設定して自動コミットを有効にすれば、gitのaddとcommitが自動で実行されます。 $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » プロジェクトを公開する","id":"144","title":"プロジェクトを公開する"},"145":{"body":"--bump オプションを使うと公開と同時にバージョンを上げることもできます。公開と同様に、Veryl.toml の[publish] セクションに bump_commit を設定すれば自動でcommitされます。 $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » プロジェクトを公開する » バージョンを上げる","id":"145","title":"バージョンを上げる"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" 設定 設定値 デフォルト 説明 bump_commit ブーリアン false バージョンアップ後の自動コミット publish_commit ブーリアン false 公開後の自動コミット bump_commit_mesasge 文字列 \"chore: Bump version\" バージョンアップ後のコミットメッセージ publish_commit_mesasge 文字列 \"chore: Publish\" 公開後のコミットメッセージ","breadcrumbs":"Development Environment » プロジェクトを公開する » 設定","id":"146","title":"設定"},"147":{"body":"Veryl は任意のディレクトリ構成をサポートしています。これは独立したプロジェクトと他のプロジェクトに組み込まれたプロジェクトでは最適なディレクトリ構成が異なるためです。 この節ではいくつかのディレクトリ構成パターンを示します。","breadcrumbs":"Development Environment » ディレクトリ構成 » ディレクトリ構成","id":"147","title":"ディレクトリ構成"},"148":{"body":"このパターンでは全てのソースコードは src ディレクトリに配置されます。src 以下のサブディレクトリの構成は自由です。 $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl は全ての *.veryl ファイルを収集し、デフォルトではソースと同じディレクトリにコードを生成します。この挙動は以下の設定で明示することもできます。 [build]\ntarget = \"source\" veryl build を実行するとディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースディレクトリ","id":"148","title":"単一のソースディレクトリ"},"149":{"body":"生成されたコードを1つのディレクトリに入れたい場合、Veryl.toml の [build] セクションで target を以下のように設定します。 [build]\ntarget = {type = \"directory\", path = \"target\"} ディレクトリ構成は以下のようになります。 $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » ディレクトリ構成 » 単一のソースとターゲットディレクトリ","id":"149","title":"単一のソースとターゲットディレクトリ"},"15":{"body":"ビット連結における繰り返し記述として明示的な repeat 記法を採用し、 複雑な {} の組み合わせより可読性が向上しています。 SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"特徴 » ビット連結における repeat","id":"15","title":"ビット連結における repeat"},"150":{"body":"既存の SystemVerilog プロジェクトに Veryl のプロジェクトを組み込む場合、以下のような構成にすることもできます。 $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files 生成された prj.f は生成されたソースコードを全てリストアップしているので、既存の SystemVerilog ファイルリストと一緒に使うことができます。","breadcrumbs":"Development Environment » ディレクトリ構成 » マルチソースディレクトリ","id":"150","title":"マルチソースディレクトリ"},"151":{"body":"Veryl はデフォルトの .gitignore を提供しません。これはプロジェクト毎にどのファイルを無視する必要があるかが変わるためです。 .gitignore の候補としては以下が考えられます。 dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » ディレクトリ構成 » .gitignore について","id":"151","title":".gitignore について"},"152":{"body":"veryl fmt コマンドでソースコードをフォーマットできます。あるいは言語サーバの textDocument/formatting 要求によるフォーマットにも対応しています。 設定可能な項目は以下の通りです。これは Veryl.toml の [format] セクションで指定できます。 [format]\nindent_width = 4 設定 設定値 説明 indent_width 整数 インデントのスペース幅","breadcrumbs":"Development Environment » フォーマッタ » フォーマッタ","id":"152","title":"フォーマッタ"},"153":{"body":"veryl check あるいは veryl build でリントチェックができます。あるいは言語サーバはリアルタイムでのチェックを行います。 設定可能な項目は以下の通りです。これは Veryl.toml の [lint] セクションで指定できます。 [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » リンタ » リンタ","id":"153","title":"リンタ"},"154":{"body":"このセクションは命名規則の設定です。 設定 設定値 説明 case_enum ケースタイプ [1] enum のケーススタイル case_function ケースタイプ [1] function のケーススタイル case_instance ケースタイプ [1] インスタンスのケーススタイル case_interface ケースタイプ [1] interface のケーススタイル case_modport ケースタイプ [1] modport のケーススタイル case_module ケースタイプ [1] module のケーススタイル case_package ケースタイプ [1] package のケーススタイル case_parameter ケースタイプ [1] parameter のケーススタイル case_port_inout ケースタイプ [1] inout ポートのケーススタイル case_port_input ケースタイプ [1] input ポートのケーススタイル case_port_modport ケースタイプ [1] modport ポートのケーススタイル case_port_output ケースタイプ [1] output ポートのケーススタイル case_reg ケースタイプ [1] レジスタ変数 [2] のケーススタイル case_struct ケースタイプ [1] struct のケーススタイル case_wire ケースタイプ [1] ワイヤ変数 [3] のケーススタイル prefix_enum 文字列 enum のプレフィックス prefix_function 文字列 function のプレフィックス prefix_instance 文字列 インスタンスのプレフィックス prefix_interface 文字列 interface のプレフィックス prefix_modport 文字列 modport のプレフィックス prefix_module 文字列 module のプレフィックス prefix_package 文字列 package のプレフィックス prefix_parameter 文字列 parameter のプレフィックス prefix_port_inout 文字列 inout ポートのプレフィックス prefix_port_input 文字列 input ポートのプレフィックス prefix_port_modport 文字列 modport ポートのプレフィックス prefix_port_output 文字列 output ポートのプレフィックス prefix_reg 文字列 レジスタ変数 [2] のプレフィックス prefix_struct 文字列 struct のプレフィックス prefix_wire 文字列 ワイヤ変数 [3] のプレフィックス re_forbidden_enum 正規表現 [4] enum の禁止正規表現 re_forbidden_function 正規表現 [4] function の禁止正規表現 re_forbidden_instance 正規表現 [4] インスタンスの禁止正規表現 re_forbidden_interface 正規表現 [4] interface の禁止正規表現 re_forbidden_modport 正規表現 [4] modport の禁止正規表現 re_forbidden_module 正規表現 [4] module の禁止正規表現 re_forbidden_package 正規表現 [4] package の禁止正規表現 re_forbidden_parameter 正規表現 [4] parameter の禁止正規表現 re_forbidden_port_inout 正規表現 [4] inout ポートの禁止正規表現 re_forbidden_port_input 正規表現 [4] input ポートの禁止正規表現 re_forbidden_port_modport 正規表現 [4] modport ポートの禁止正規表現 re_forbidden_port_output 正規表現 [4] output ポートの禁止正規表現 re_forbidden_reg 正規表現 [4] レジスタ変数 [2] の禁止正規表現 re_forbidden_struct 正規表現 [4] struct の禁止正規表現 re_forbidden_wire 正規表現 [4] ワイヤ変数 [3] の禁止正規表現 re_required_enum 正規表現 [4] enum の必須正規表現 re_required_function 正規表現 [4] function の必須正規表現 re_required_instance 正規表現 [4] インスタンスの必須正規表現 re_required_interface 正規表現 [4] interface の必須正規表現 re_required_modport 正規表現 [4] modport の必須正規表現 re_required_module 正規表現 [4] module の必須正規表現 re_required_package 正規表現 [4] package の必須正規表現 re_required_parameter 正規表現 [4] parameter の必須正規表現 re_required_port_inout 正規表現 [4] inout ポートの必須正規表現 re_required_port_input 正規表現 [4] input ポートの必須正規表現 re_required_port_modport 正規表現 [4] modport ポートの必須正規表現 re_required_port_output 正規表現 [4] output ポートの必須正規表現 re_required_reg 正規表現 [4] レジスタ変数 [2] の必須正規表現 re_required_struct 正規表現 [4] struct の必須正規表現 re_required_wire 正規表現 [4] ワイヤ変数 [3] の必須正規表現 設定可能な値は以下です。 \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase \".*\"のような正規表現です。使用可能な構文は こちら . レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 ワイヤ変数とは always_comb で代入される変数です。合成フェーズでワイヤにマップされます。","breadcrumbs":"Development Environment » リンタ » [lint.naming] セクション","id":"154","title":"[lint.naming] セクション"},"155":{"body":"RTLシミュレータによるテストは veryl test で実行することができます。サポートされているシミュレータは以下の通りです。 Verilator Synopsys VCS AMD Vivado Simulator Verilatorはデフォルトのシミュレータです。Veryl.tomlやコマンドラインオプションでシミュレータが指定されていない場合に使用されます。 設定可能な項目は以下の通りです。これは Veryl.toml の [test] セクションで指定できます。 [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » シミュレータ » シミュレータ","id":"155","title":"シミュレータ"},"156":{"body":"このセクションはテストの設定です。 設定 設定値 説明 simulator シミュレータ名 [1] デフォルトのシミュレータ 設定可能な値は以下です。 \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » シミュレータ » [test] セクション","id":"156","title":"[test] セクション"},"157":{"body":"このセクションはVerilatorによるテストの設定です。 設定 設定値 説明 compile_args [文字列] verilator コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.verilator] セクション","id":"157","title":"[test.verilator] セクション"},"158":{"body":"このセクションはVCSによるテストの設定です。 設定 設定値 説明 compile_args [文字列] vcs コマンドへの追加の引数 simulate_args [文字列] シミュレーションバイナリへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vcs] セクション","id":"158","title":"[test.vcs] セクション"},"159":{"body":"このセクションはVivadoによるテストの設定です。 設定 設定値 説明 compile_args [文字列] xvlog コマンドへの追加の引数 elaborate_args [文字列] xelab コマンドへの追加の引数 simulate_args [文字列] xsim コマンドへの追加の引数","breadcrumbs":"Development Environment » シミュレータ » [test.vivado] セクション","id":"159","title":"[test.vivado] セクション"},"16":{"body":"三項演算子の代わりに if 式と case 式を採用することで、比較するアイテム数が多い場合の可読性が向上します。 SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"特徴 » if / case 式","id":"16","title":"if / case 式"},"160":{"body":"veryl-ls は言語サーバのバイナリです。使用するにはエディタの設定やプラグインが必要です。 設定可能な項目は以下の通りです。これは各エディタの設定から指定できます。 設定 設定値 デフォルト 説明 useOperatorCompletion ブーリアン false 演算子(例 '>:', '>>')の補完を有効にする","breadcrumbs":"Development Environment » 言語サーバ » 言語サーバ","id":"160","title":"言語サーバ"},"161":{"body":"いくつかのツールはサポートしていない SystemVerilog 構文があります。これをサポートするために、 Veryl.toml の設定でコード生成をカスタマイズすることができます。","breadcrumbs":"Development Environment » 互換性 » 互換性","id":"161","title":"互換性"},"162":{"body":"","breadcrumbs":"Development Environment » 互換性 » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivadoは string 型の parameter をサポートしていません。 parameter string a = \"A\"; その場合は implicit_parameter_types を設定してください。 [build]\nimplicit_parameter_types = [\"string\"] 設定すると生成コードは以下のようになります。 parameter a = \"A\";","breadcrumbs":"Development Environment » 互換性 » 文字列パラメータ","id":"163","title":"文字列パラメータ"},"164":{"body":"プロジェクトのドキュメントは veryl doc コマンドで生成することができます。全てのパブリックなモジュールとインターフェース、パッケージがリストアップされます。(参照 可視性 ) 詳細な説明を書きたい場合はドキュメンテーションコメントを追加することもできます。ドキュメンテーションコメントでは マークダウン 記法を使えます。 WaveDrom による波形記述もサポートされています。wavedrom コードブロック内で、WaveDromの構文を記述することができます。構文の詳細は チュートリアル を参照してください。 /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} 設定可能な項目は以下の通りです。これは Veryl.toml の [doc] セクションで指定できます。 [doc]\npath = \"document\" 設定 設定値 デフォルト 説明 path 文字列 \"doc\" 出力ディレクトリへのパス","breadcrumbs":"Development Environment » ドキュメンテーション » ドキュメンテーション","id":"164","title":"ドキュメンテーション"},"165":{"body":"ビルド済みのVerylバイナリをダウンロードするための公式GitHub actionが提供されています。 https://github.com/marketplace/actions/setup-veryl GitHub actionスクリプトの例は以下の通りです。 フォーマットとビルドチェック name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check GitHub Pagesからドキュメントを公開する name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Verilator によるテスト このために GitHub action veryl-lang/setup-verilator を公開しています。 name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"補遺 » 補遺","id":"166","title":"補遺"},"167":{"body":"Veryl のパーサはパーサジェネレータ parol を使っています。以下の parol の構文定義が正式な構文です。 %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » 構文 » 構文","id":"167","title":"構文"},"168":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » セマンティックエラー","id":"168","title":"セマンティックエラー"},"169":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"閉区間 ..= と半開区間 .. を表す記法を導入し、 for 、inside で範囲を統一的に記述できるようにしました。また、inside の逆を意味する outside も導入しました。 SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"特徴 » 範囲 for / inside / outside","id":"17","title":"範囲 for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"最上位ビットを示す msb 記法により、パラメータから最上位ビットを計算する必要がなくなり、より意図を明確にすることができます。 SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"特徴 » msb 記法","id":"18","title":"msb 記法"},"180":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"変数宣言と同時に値を束縛する専用の let 文が用意されており、SystemVerilogではサポートされていなかった様々な場所で使用することができます。 SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"特徴 » let 文","id":"19","title":"let 文"},"190":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » セマンティックエラー » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"VerylはSystemVerilogとの相互運用性を考慮して設計されており、既存のSystemVerilogコンポーネントやプロジェクトとの組み合わせや部分的な置き換えをスムーズに行うことができます。さらに、VerylからトランスパイルされたSystemVerilogソースコードは、その高い可読性により、シームレスな統合やデバッグを可能にします。","breadcrumbs":"概要 » 相互運用性","id":"2","title":"相互運用性"},"20":{"body":"変数のスコープを限定するための名前付きブロックを定義することができます。 SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"特徴 » 名前付きブロック","id":"20","title":"名前付きブロック"},"21":{"body":"pub キーワードの付かないモジュールはプロジェクト外から参照できず、ドキュメントの自動生成にも含まれません。これによりプロジェクト外に公開したいものと内部実装とを区別することができます。 SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} いくつかのブラウザはデフォルトでGIF動画の再生を停止しています。ブラウザの設定を確認してください。","breadcrumbs":"特徴 » 可視性制御","id":"21","title":"可視性制御"},"22":{"body":"Veryl を使ってみましょう。この章では Veryl のインストール、サンプルプロジェクトの作成とビルドまでを行います。","breadcrumbs":"はじめに » はじめに","id":"22","title":"はじめに"},"23":{"body":"Veryl バイナリをダウンロードしてインストールできます。もし Rust ユーザであれば cargo コマンドでインストールすることもできます。","breadcrumbs":"Getting Started » インストール » インストール","id":"23","title":"インストール"},"24":{"body":"Veryl は git コマンドを内部で使用します。 git が起動できることを確認しておいてください。","breadcrumbs":"Getting Started » インストール » 要件","id":"24","title":"要件"},"25":{"body":"","breadcrumbs":"Getting Started » インストール » インストール方法の選択","id":"25","title":"インストール方法の選択"},"26":{"body":"リリースページ からダウンロードして、パスの通ったところに展開してください。","breadcrumbs":"Getting Started » インストール » バイナリのダウンロード","id":"26","title":"バイナリのダウンロード"},"27":{"body":"cargo コマンドからインストールすることもできます。 cargo install veryl veryl-ls","breadcrumbs":"Getting Started » インストール » Cargo","id":"27","title":"Cargo"},"28":{"body":"公式には Visual Studio Code と Vim / Neovim がサポートされています。","breadcrumbs":"Getting Started » インストール » エディタ設定","id":"28","title":"エディタ設定"},"29":{"body":"Visual Studio Code 向けに Veryl 拡張が提供されています。拡張はファイルタイプの検出とシンタックスハイライト、言語サーバの組み込みを提供します。拡張パネルから \"Veryl\" で検索するか、以下の URL からインストールしてください。 Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » インストール » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Verylはパッケージマネージャ、ビルドツール、そしてVSCode、Vim、Emacsなどの主要なエディタに対応するリアルタイムチェッカー、自動補完機能、自動フォーマッタなど、豊富な開発支援ツールを備えています。これらのツールは、開発プロセスを加速し、生産性を大幅に向上させることができます。 これらの特性により、Verylは設計者が高品質なハードウェア設計をより効率的かつ生産的に行うための強力なサポートを提供します。","breadcrumbs":"概要 » 生産性","id":"3","title":"生産性"},"30":{"body":"Vim / Neovim 向けに Veryl プラグインが提供されています。プラグインはファイルタイプの検出とシンタックスハイライトを提供します。プラグインのインストールと言語サーバの組み込みは以下の URL を参照してください。 Vim / Neovim plugin","breadcrumbs":"Getting Started » インストール » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl は言語サーバを提供しているので、言語サーバをサポートしているエディタ(例えば Emacs)であれば利用できます。","breadcrumbs":"Getting Started » インストール » そのほかのエディタ","id":"31","title":"そのほかのエディタ"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"まず始めに、新しい Veryl プロジェクトを作りましょう。 veryl new hello コマンドを実行すると、以下のようなディレクトリとファイルが作成されます。 $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml はプロジェクトの設定ファイルです。 [project]\nname = \"hello\"\nversion = \"0.1.0\" 全設定の説明は こちら 。","breadcrumbs":"Getting Started » Hello, World! » プロジェクトを作る","id":"33","title":"プロジェクトを作る"},"34":{"body":"ソースコードはプロジェクトディレクトリ内のどこに書いても構いません。これは Veryl プロジェクトが独立したプロジェクトである場合もあれば、他のSystemVerilog プロジェクトに組み込まれている場合もあるからです。Veryl のソースコードの拡張子は .veryl です。 例えば以下のコードを src/hello.veryl に書いてみましょう。 module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files 注:この本のいくつかのソースコードには、マウスをホバーすると現れるプレイボタン \"▶\" があります。ボタンをクリックすると、トランスパイルされた SystemVerilog のコードが現れます。module ModuleA のコードのボタンを押してみましょう。","breadcrumbs":"Getting Started » Hello, World! » コードを書く","id":"34","title":"コードを書く"},"35":{"body":"veryl build コマンドで SystemVerilog のソースコードを生成できます。 $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files デフォルトでは SystemVerilog のコードは Veryl のコードと同じディレクトリに生成されます。つまり src/hello.sv です。 module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule さらに、生成されたコードのファイルリスト hello.f も生成されます。これは SystemVerilog コンパイラで使用できます。 Verilator で使用するには以下のようにします。 $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » ビルドする","id":"35","title":"ビルドする"},"36":{"body":"Veryl は SystemVerilog とほとんど同じセマンティクスを持っています。もし SystemVerilog に慣れていれば、いくつかの例をみるだけで Veryl の構文をだいたい把握できるでしょう。 この小さな例では、コメントに SystemVerilog 構文との違いが書かれています。 module ModuleA ( // 識別子が先で `:` の後に型が来ます // ビット幅は `<>` で表されます i_data: input logic<10>, o_data: output logic<10>, // `begin`/`end` ではなく `{}` を使います\n) { assign o_data = i_data;\n} さらに、この章のコードブロックは編集することもできます。それぞれのコードを編集して実行してみましょう。 Veryl のソースコードは SystemVerilog と同様に、module、interface、package を持ちます。この章ではそれらの例を示します。","breadcrumbs":"コード例 » コード例","id":"36","title":"コード例"},"37":{"body":"// モジュール定義\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // 末尾カンマが可能です\n) ( i_clk : input clock , // `clock` はクロックのための特別な型です i_rst : input reset , // `reset` はリセットのための特別な型です i_sel : input logic , i_data: input logic [2], // `[]` は SystemVerilog のアンパック配列です o_data: output logic , // `<>` は SystemVerilog のパック配列です\n) { // ローカルパラメータ宣言 // モジュール内では `param` は使えません local ParamC: u32 = 10; // 変数宣言 var r_data0: logic; var r_data1: logic; var r_data2: logic; // 値の束縛 let _w_data2: logic = i_data; // リセット付き always_ff 文 // `always_ff` はクロック(必須)とリセット(オプション)を持ちます // `if_reset` は `if (i_rst)` を意味し、リセット極性を隠蔽するための構文です // `if` 文に `()` はいりません // `always_ff` 内の `=` はノンブロッキング代入です always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // リセットなし always_ff 文 always_ff (i_clk) { r_data1 = r_data0; } // モジュール内にクロックとリセットが1つしかない場合 // クロックとリセットの指定は省略できます always_ff { r_data2 = r_data1; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » モジュール » モジュール","id":"37","title":"モジュール"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // インスタンス宣言 // インスタンス宣言は `inst` キーワードではじまります // ポート接続は `()` 内で指定します // 各ポートの接続は `[port_name]:[variable]` のような形式になります // `[port_name]` は `[port_name]:[port_name]` を意味します inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // パラメータオーバーライド付きインスタンス宣言 // パラメータの接続記法はポートと同様です inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » インスタンス » インスタンス","id":"38","title":"インスタンス"},"39":{"body":"// インターフェース定義\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport 定義 modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // modport によるポート宣言 intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // インターフェースのインスタンス inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » インターフェース » インターフェース","id":"39","title":"インターフェース"},"4":{"body":"この章ではVerylの特徴的な機能をわかりやすい例とともに紹介します。 リアルタイム診断 自動フォーマット 組み込みテスト 依存関係管理 ジェネリクス 末尾カンマ クロックとリセットの抽象化 ドキュメンテーションコメント always_ff での複合代入演算子 独立した名前空間を持つenumバリアント ビット連結における repeat if / case 式 範囲 for / inside / outside msb 記法 let 文 名前付きブロック 可視性制御","breadcrumbs":"特徴 » 特徴","id":"4","title":"特徴"},"40":{"body":"// パッケージ定義\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » パッケージ » パッケージ","id":"40","title":"パッケージ"},"41":{"body":"この章では Veryl の言語仕様について説明します。","breadcrumbs":"言語リファレンス » 言語リファレンス","id":"41","title":"言語リファレンス"},"42":{"body":"Veryl のソースコードはいくつかの module、interface、package からなります。 module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} トランスパイルされたコードにおける module、interface、package の名前には先頭にプロジェクト名が付きます。このサンプルコードでは project_ が付きます。これはプロジェクト間で名前が衝突するのを防ぐためです。","breadcrumbs":"Language Reference » ソースコードの構造 » ソースコードの構造","id":"42","title":"ソースコードの構造"},"43":{"body":"この章では Veryl の字句構造について説明します。まず始めに、全体的なことがらからです。","breadcrumbs":"Language Reference » 字句構造 » 字句構造","id":"43","title":"字句構造"},"44":{"body":"Veryl のソースコードは UTF-8 エンコーディングでなければなりません。","breadcrumbs":"Language Reference » 字句構造 » エンコーディング","id":"44","title":"エンコーディング"},"45":{"body":"(空白)、\\t、\\n は空白として扱われ、Veryl のパーサはこれらを全て無視します。","breadcrumbs":"Language Reference » 字句構造 » 空白","id":"45","title":"空白"},"46":{"body":"行コメントと複数行コメントが使えます。ほとんどのコメントはトランスパイルされたコードにも出力されます。 // 行コメント /*\n複数 行 コメント\n*/","breadcrumbs":"Language Reference » 字句構造 » コメント","id":"46","title":"コメント"},"47":{"body":"/// ではじまる行コメントはドキュメンテーションコメントとして扱われます。ドキュメンテーションコメントはドキュメントの生成に使われます。 /// ドキュメンテーションコメント","breadcrumbs":"Language Reference » 字句構造 » ドキュメンテーションコメント","id":"47","title":"ドキュメンテーションコメント"},"48":{"body":"識別子は ASCII のアルファベットと数値、 _ からなります。先頭が数値であってはなりません。正式な定義は以下の正規表現です。 [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » 字句構造 » 識別子","id":"48","title":"識別子"},"49":{"body":"\" で囲んだものが文字列になります。\\\" や \\n のように \\ によるエスケープも可能です。 \"Hello, World!\"","breadcrumbs":"Language Reference » 字句構造 » 文字列","id":"49","title":"文字列"},"5":{"body":"変数の未定義・未使用・未代入といった問題はエディタでの編集中にリアルタイムに通知されます。次の例では、未使用変数として通知された変数に _ プレフィックスを付加することで未使用であることを明示し、警告を抑制しています。 diagnostics ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » リアルタイム診断","id":"5","title":"リアルタイム診断"},"50":{"body":"ほとんどの演算子は SystemVerilog と同じです。いくつか違いがあるので注意してください。 <: 小なり演算子です。SystemVerilog の < と同じです。 >: 大なり演算子です。SystemVerilog の > と同じです。 // 単項算術演算\na = +1;\na = -1; // 単項論理演算\na = !1;\na = ~1; // 単項集約演算\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // 二項算術演算\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // シフト演算\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // 比較演算\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // ビット演算\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // 二項論理演算\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » 演算子 » 演算子","id":"50","title":"演算子"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 数値","id":"51","title":"数値"},"52":{"body":"// 整数\n0123456789\n01_23_45_67_89 // 2進数\n32'b01xzXZ\n32'b01_xz_XZ // 8進数\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // 10進数\n32'd0123456789\n32'd01_23_45_67_89 // 16進数\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 整数","id":"52","title":"整数"},"53":{"body":"// 全て 0\n'0 // 全て 1\n'1 // 全て x\n'x\n'X // 全て z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 全ビットのセット","id":"53","title":"全ビットのセット"},"54":{"body":"ビット幅指定は省略することができます。省略された場合、トランスパイルされたコードでは適切なビット幅が付与されます。 module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 幅なし整数","id":"54","title":"幅なし整数"},"55":{"body":"\"全ビットのセット\" にビット幅指定を付与することもできます。 module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 指定ビットのセット","id":"55","title":"指定ビットのセット"},"56":{"body":"// 浮動小数点数\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // 指数表記\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » 数値 » 浮動小数点数","id":"56","title":"浮動小数点数"},"57":{"body":"'{} は配列リテラルを表します。リテラル内には式、repeat キーワード、default キーワードを配置することができます。 module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » 配列リテラル » 配列リテラル","id":"57","title":"配列リテラル"},"58":{"body":"この章ではデータ型について説明します。","breadcrumbs":"Language Reference » データ型 » データ型","id":"58","title":"データ型"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 組み込み型","id":"59","title":"組み込み型"},"6":{"body":"エディタと連携した自動フォーマット機能のほか、コマンドラインでのフォーマットやCIでのフォーマットチェックも可能です。 format ビデオが再生されない場合 [1]","breadcrumbs":"特徴 » 自動フォーマット","id":"6","title":"自動フォーマット"},"60":{"body":"logic は4値(0、1、x、z)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な4値データ型","id":"60","title":"幅指定可能な4値データ型"},"61":{"body":"bit は2値(0、1)のデータ型です。幅は logic のあとの <> で指定できます。 のように多次元指定も可能です。 module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 幅指定可能な2値データ型","id":"61","title":"幅指定可能な2値データ型"},"62":{"body":"整数型にはいくつかの種類があります。 u32:32ビットの符号なし整数 u64:64ビットの符号なし整数 i32:32ビットの符号付き整数 i64:64ビットの符号付き整数 module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 整数型","id":"62","title":"整数型"},"63":{"body":"浮動小数点数型にもいくつかの種類があります。 f32:32ビット浮動小数点数 f64:64ビット浮動小数点数 いずれも IEEE Std 754 準拠の表現です。 module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 浮動小数点数型","id":"63","title":"浮動小数点数型"},"64":{"body":"string は文字列を表す型です。 module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » 文字列型","id":"64","title":"文字列型"},"65":{"body":"type は型の種類を表す型です。type 型の変数は param か local としてのみ定義可能です。 module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » 組み込み型 » Type型","id":"65","title":"Type型"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユーザ定義型","id":"66","title":"ユーザ定義型"},"67":{"body":"struct は複合データ型です。いくつかのフィールドを持つことができ、. 演算子を通してアクセスできます。 module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 構造体","id":"67","title":"構造体"},"68":{"body":"enum は列挙型です。名前の付いたバリアントを複数持ち、enum 型の変数にはそのバリアントのうち1つだけをセットできます。バリアント名は [enum name]::[variant name] の形式で指定可能です。それぞれのバリアントは対応する整数値を持ち、= で指定することができます。指定されなかった場合は自動的に割り当てられます。 module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 列挙型","id":"68","title":"列挙型"},"69":{"body":"union はパックされたタグなしの直和型で、SystemVerilog では packed union にトランスパイルされます。ユニオンのそれぞれのバリアントの幅は同じでなければなりません。 module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » ユニオン","id":"69","title":"ユニオン"},"7":{"body":"SystemVerilogで書かれたテストコードをVerylに埋め込み、veryl test コマンドで実行することができます。 #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"特徴 » 組み込みテスト","id":"7","title":"組み込みテスト"},"70":{"body":"type キーワードを使って、スカラー型や配列型への型エイリアスを定義することができます。 module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » ユーザ定義型 » 型定義","id":"70","title":"型定義"},"71":{"body":"任意のデータ型に対して [] と付与することで配列を定義することができます。配列の長さは [] 内の値で指定します。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} [X, Y, Z,,,] のように多次元配列も定義できます。 module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » 配列 » 配列","id":"71","title":"配列"},"72":{"body":"clock はクロック配線を表す特別な型です。クロックの極性を指定するため以下の3種類があります。 clock: ビルド時の設定で指定される極性を持つクロック型 clock_posedge: 正極性のクロック型 clock_negedge: 負極性のクロック型 reset はリセット配線を表す特別な型です。リセットの極性と同期・非同期を指定するため以下の5種類があります。 reset: ビルド時の設定で指定される極性と同期性を持つリセット型 reset_async_high: 正極性の非同期リセット型 reset_async_low: 負極性の非同期リセット型 reset_sync_high: 正極性の同期リセット型 reset_sync_low: 負極性の同期リセット型 特別な要件がなければ、コードの再利用を高めるため clock と reset の使用を推奨します。 module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » クロックとリセット » クロックとリセット","id":"72","title":"クロックとリセット"},"73":{"body":"この章では式について説明します。式は変数や演算子、関数呼び出しなどを組み合わせたもので、評価して値を得ることができます。","breadcrumbs":"Language Reference » 式 » 式","id":"73","title":"式"},"74":{"body":"式内での演算子の優先順位は SystemVerilog とほとんど同じです。 演算子 結合性 優先順位 () [] :: . 左 高い + - ! ~ & ~& | ~| ^ ~^ ^~ (単項) 左 ** 左 * / % 左 + - (二項) 左 << >> <<< >>> 左 <: <= >: >= 左 == != === !== ==? !=? 左 & (二項) 左 ^ ~^ ^~ (二項) 左 |(二項) 左 && 左 || 左 = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= なし {} なし 低い","breadcrumbs":"Language Reference » Expression » 演算子の優先順位 » 演算子の優先順位","id":"74","title":"演算子の優先順位"},"75":{"body":"関数は function_name(argument) の形式で呼び出すことができます。$clog2 のような SystemVerilog のシステム関数も使えます。 module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » 関数呼び出し » 関数呼び出し","id":"75","title":"関数呼び出し"},"76":{"body":"{} はビット連結を表します。{} の中では repeat キーワードを使うことで指定されたオペランドを繰り返すこともできます。 module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » 連結 » 連結","id":"76","title":"連結"},"77":{"body":"if を用いた条件式を使えます。if キーワードの後に条件を示す節を置きますが、() で囲む必要はありません。if 式は常になんらかの値に評価される必要があるため else は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » if » if","id":"77","title":"if"},"78":{"body":"もう一つの条件式が case です。case は 式: 式 という形式の条件を複数持ちます。もし case キーワードの後の式と条件の左側の式が一致すれば、その条件の右側の式が返されます。default はそれ以外の条件が全て失敗したときに返される特別な条件です。case 式は常になんらかの値に評価される必要があるため default は必須です。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » case » case","id":"78","title":"case"},"79":{"body":"[] はビット選択演算子です。[] に式を指定すれば1ビットを選択できます。範囲選択する場合は [式:式] とします。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » ビット選択 » ビット選択","id":"79","title":"ビット選択"},"8":{"body":"Verylには依存関係の管理機能が組み込まれており、プロジェクト設定に以下のようにライブラリのリポジトリパスとバージョンを追加するだけで、簡単にライブラリを組み込むことができます。 [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"特徴 » 依存関係管理","id":"8","title":"依存関係管理"},"80":{"body":"範囲は範囲演算子で指定できます。範囲演算子には以下の2種類があります。 ..:半開区間 ..=:閉区間 範囲は for 文などの場所で使うことができます。 module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » 範囲 » 範囲","id":"80","title":"範囲"},"81":{"body":"msb と lsb は [] によるビット選択で使用できます。msb はオペランドの最上位ビットを意味します。lsb はオペランドの最下位ビットを意味し、0と同じです。 module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » msb / lsb » msb / lsb","id":"81","title":"msb / lsb"},"82":{"body":"inside は 指定された式が {} で与えられた条件内にあるかどうかを調べます。条件は単一の式または 範囲 を指定できます。条件を満たすとき inside は 1 を、そうでなければ 0 を返します。outside はその逆です。 module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » inside / outside » inside / outside","id":"82","title":"inside / outside"},"83":{"body":"この章では文について説明します。文は always_ff や always_comb などいくつかの宣言で使用することができます。","breadcrumbs":"Language Reference » 文 » 文","id":"83","title":"文"},"84":{"body":"代入文は 変数 = 式; の形式です。SystemVerilog と異なり、always_comb でも always_ff でも代入演算子は = です。以下のような代入演算子もあります。 +=:加算代入 -=:減算代入 *=:乗算代入 /=:除算代入 %=:剰余代入 &=:ビットAND代入 |=:ビットOR代入 ^=:ビットXOR代入 <<=:論理左シフト代入 >>=:論理右シフト代入 <<<=:算術左シフト代入 >>>=:算術右シフト代入 module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » 代入 » 代入","id":"84","title":"代入"},"85":{"body":"関数呼び出しは文として使うこともできます。この場合、関数の戻り値は無視されます。 module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » 関数呼び出し » 関数呼び出し","id":"85","title":"関数呼び出し"},"86":{"body":"if は文として使うこともできます。if 式との違いは {} 内に文を書くことです。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » if » if","id":"86","title":"if"},"87":{"body":"case は文として使うこともできます。条件の右側は文になります。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » case » case","id":"87","title":"case"},"88":{"body":"for 文は繰り返しを表します。in キーワードの前にループ変数を、後に 範囲 を書きます。 break を使ってループを中断することもできます。 module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » for » for","id":"88","title":"for"},"89":{"body":"return 文は関数からの戻りを示します。return キーワードの後の式は関数の戻り値です。 module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » return » return","id":"89","title":"return"},"9":{"body":"ジェネリクスによるコード生成は従来のパラメータオーバーライドよりさらに再利用性の高いコードを記述することができます。以下の例のような関数のパラメータだけでなく、インスタンスのモジュール名や構造体定義の型名もパラメータ化することができます。 SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"特徴 » ジェネリクス","id":"9","title":"ジェネリクス"},"90":{"body":"let 文はある名前に値を束縛します。これは always_ff 、 always_comb および関数宣言の中で使うことができます。 let 文はブロック中のどこにでも置くことができます。 module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » let » let","id":"90","title":"let"},"91":{"body":"この章では宣言について説明します。","breadcrumbs":"Language Reference » 宣言 » 宣言","id":"91","title":"宣言"},"92":{"body":"変数宣言は var キーワードで始まり、変数名、:、変数の型と続きます。 未使用の変数は警告が発生します。_ で始まる変数名は未使用変数を意味し、警告を抑制します。 宣言時に名前に値を束縛する場合は var の代わりに let を使います。 module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » 変数 » 変数","id":"92","title":"変数"},"93":{"body":"パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。 module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » パラメータ » パラメータ","id":"93","title":"パラメータ"},"94":{"body":"レジスタ変数とは always_ff で代入される変数です。合成フェーズでフリップフロップにマップされます。 always_ff は必須のクロック変数、オプションのリセット変数、{} ブロックをとります。クロックとリセットは () に書きます。指定されたクロックとリセットは clock / reset 型を持ち、そのビット幅は1ビットでなければなりません。 if_reset は always_ff に書ける特別なキーワードで、そのレジスタ変数のリセット条件を示します。if_reset を使う場合は always_ff のリセット変数は必須です。これを使うことで、リセットの極性と同期性を隠ぺいすることができます。実際の極性と同期性は Veryl.toml の [build] セクションで設定できます。 モジュール内にクロックとリセットが1つしかない場合、クロックとリセットの指定は省略できます。 module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; var c: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } } always_ff { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » レジスタ » レジスタ","id":"94","title":"レジスタ"},"95":{"body":"always_comb で代入される変数は組み合わせ回路を意味します。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » 組み合わせ回路 » 組み合わせ回路","id":"95","title":"組み合わせ回路"},"96":{"body":"assign 宣言で変数に式を代入することができます。 module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » assign » assign","id":"96","title":"assign"},"97":{"body":"関数は function キーワードで宣言できます。引数は () 内に書き、戻り値の型を -> の後に書きます。 関数が戻り値を持たない場合、-> は省略できます。 module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » 関数 » 関数","id":"97","title":"関数"},"98":{"body":"initial ブロック内の文はシミュレーション開始時に実行され、final は終了時です。どちらも論理合成では無視され、デバッグやアサーションに使うことができます。 module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » initial / final » initial / final","id":"98","title":"initial / final"},"99":{"body":"アトリビュートは変数宣言などいくつかの宣言に注釈を付けることができます。","breadcrumbs":"Language Reference » Declaration » アトリビュート » アトリビュート","id":"99","title":"アトリビュート"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":47,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":2.0},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.0},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":1.7320508075688772},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":1.7320508075688772}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":1,"docs":{"164":{"tf":2.23606797749979}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.4142135623730951},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.0},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.0}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.4142135623730951},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.4142135623730951},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.4142135623730951},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":1.7320508075688772}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":4.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.4142135623730951},"155":{"tf":1.7320508075688772},"156":{"tf":1.0},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":6,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"65":{"tf":2.449489742783178},"70":{"tf":2.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.4142135623730951},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":1.7320508075688772},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.6457513110645907},"33":{"tf":1.0},"8":{"tf":1.0}},"、":{"0":{".":{"1":{".":{"1":{"df":0,"docs":{},"、":{"0":{".":{"2":{".":{"0":{"df":0,"docs":{},"が":{"df":0,"docs":{},"あ":{"df":0,"docs":{},"っ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"場":{"df":0,"docs":{},"合":{"df":0,"docs":{},"、":{"0":{".":{"1":{".":{"1":{"df":1,"docs":{"143":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"1":{"df":1,"docs":{"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.0}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":24,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":13,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"52":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":2,"docs":{"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":47,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":2.0},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":19,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"52":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":1,"docs":{"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"123":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}},"値":{"df":0,"docs":{},"(":{"0":{"df":0,"docs":{},"、":{"1":{"df":0,"docs":{},"、":{"df":0,"docs":{},"x":{"df":0,"docs":{},"、":{"df":0,"docs":{},"z":{"df":1,"docs":{"60":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"5":{"df":4,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"52":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.0}}}}}}}},"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"d":{"d":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":27,"docs":{"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"r":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":21,"docs":{"101":{"tf":1.4142135623730951},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"92":{"tf":2.0},"96":{"tf":2.23606797749979},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":3.605551275463989}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"167":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"70":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":12,"docs":{"120":{"tf":1.0},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"35":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":5,"docs":{"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"153":{"tf":1.0},"165":{"tf":2.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":11,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"72":{"tf":2.0},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"e":{"df":6,"docs":{"28":{"tf":1.0},"29":{"tf":2.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"167":{"tf":6.244997998398398}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"167":{"tf":10.488088481701515}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.7320508075688772}}}}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":15,"docs":{"164":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":14,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"167":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":5,"docs":{"16":{"tf":1.0},"167":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":10,"docs":{"120":{"tf":1.0},"140":{"tf":1.7320508075688772},"141":{"tf":2.0},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"125":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":7,"docs":{"132":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":1,"docs":{"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"d":{"df":9,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.0},"71":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":46,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":1,"docs":{"113":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":11,"docs":{"126":{"tf":1.0},"167":{"tf":7.483314773547883},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"29":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":1.4142135623730951},"6":{"tf":1.0}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":8,"docs":{"115":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"75":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":9.695359714832659}}}}},"t":{"df":13,"docs":{"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.449489742783178}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":6.4031242374328485}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":2,"docs":{"112":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":4,"docs":{"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"、":{"$":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"、":{"$":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"27":{"tf":1.0}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":6,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":2.0},"39":{"tf":1.0},"42":{"tf":1.0}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":0,"docs":{},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":1,"docs":{"101":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.0},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.0},"137":{"tf":1.7320508075688772},"153":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":12,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"10":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"61":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":4,"docs":{"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"df":0,"docs":{},"イ":{"df":0,"docs":{},"ン":{"df":0,"docs":{},"タ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"フ":{"df":0,"docs":{},"ェ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"の":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"105":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}}}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"df":68,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"21":{"tf":2.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"、":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"、":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"142":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":9,"docs":{"12":{"tf":1.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"141":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"33":{"tf":1.0},"68":{"tf":1.0}}}}},"df":1,"docs":{"49":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}},"df":0,"docs":{}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"t":{"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":12,"docs":{"10":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":10,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":1,"docs":{"165":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":6,"docs":{"132":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"30":{"tf":1.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"35":{"tf":1.0}}}}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":7,"docs":{"120":{"tf":1.0},"121":{"tf":1.7320508075688772},"123":{"tf":1.0},"124":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"33":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":5,"docs":{"120":{"tf":1.0},"139":{"tf":1.7320508075688772},"144":{"tf":2.8284271247461903},"145":{"tf":1.7320508075688772},"146":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.0},"165":{"tf":1.7320508075688772}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":77,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"l":{"df":1,"docs":{"131":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"s":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"120":{"tf":1.0},"127":{"tf":2.0}}}}}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":9,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":2.0},"94":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":6,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.0}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.449489742783178}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":2,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0}}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":2,"docs":{"132":{"tf":1.0},"148":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":14,"docs":{"167":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":8,"docs":{"167":{"tf":4.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":4,"docs":{"133":{"tf":1.7320508075688772},"163":{"tf":1.7320508075688772},"167":{"tf":1.0},"64":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":5,"docs":{"118":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":8,"docs":{"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":29,"docs":{"0":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}},"で":{"df":0,"docs":{},"書":{"df":0,"docs":{},"か":{"df":0,"docs":{},"れ":{"df":0,"docs":{},"た":{"df":0,"docs":{},"テ":{"df":0,"docs":{},"ス":{"df":0,"docs":{},"ト":{"df":0,"docs":{},"コ":{"df":0,"docs":{},"ー":{"df":0,"docs":{},"ド":{"df":0,"docs":{},"を":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"に":{"df":0,"docs":{},"埋":{"df":0,"docs":{},"め":{"df":0,"docs":{},"込":{"df":0,"docs":{},"み":{"df":0,"docs":{},"、":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"7":{"tf":1.0}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.0},"151":{"tf":1.0}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":7,"docs":{"113":{"tf":1.4142135623730951},"120":{"tf":1.0},"138":{"tf":1.7320508075688772},"155":{"tf":1.7320508075688772},"156":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"7":{"tf":1.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"14":{"tf":1.0}}}}},"df":18,"docs":{"118":{"tf":1.7320508075688772},"132":{"tf":1.0},"149":{"tf":1.0},"167":{"tf":2.23606797749979},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":2.8284271247461903},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.23606797749979},"71":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"、":{"\\":{"df":0,"docs":{},"n":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"3":{"2":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},":":{"6":{"4":{"df":1,"docs":{"62":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"69":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":3,"docs":{"120":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"df":1,"docs":{"165":{"tf":2.8284271247461903}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.449489742783178},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":9,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"123":{"tf":1.7320508075688772},"141":{"tf":1.7320508075688772},"143":{"tf":1.7320508075688772},"144":{"tf":1.0},"145":{"tf":1.4142135623730951},"146":{"tf":1.0},"33":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":15,"docs":{"121":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":46,"docs":{"0":{"tf":2.0},"10":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.0},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.1622776601683795},"167":{"tf":2.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.7320508075688772},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"41":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":1.7320508075688772}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":3,"docs":{"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"144":{"tf":1.4142135623730951},"145":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"96":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"128":{"tf":1.0}}},"df":0,"docs":{}}}}},"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"140":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"14":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"136":{"tf":1.0}}}},"df":0,"docs":{}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"122":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"121":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"139":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":2,"docs":{"138":{"tf":1.0},"156":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":1,"docs":{"65":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"123":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}} \ No newline at end of file diff --git a/nightly/book/print.html b/nightly/book/print.html index 3ef748f7..714db9f6 100644 --- a/nightly/book/print.html +++ b/nightly/book/print.html @@ -394,7 +394,8 @@

Build Code

// variable declaration var r_data0: logic<ParamA>; var r_data1: logic<ParamA>; + var r_data2: logic<ParamA>; // value binding let _w_data2: logic<ParamA> = i_data; @@ -857,6 +859,12 @@

Build Code

r_data1 = r_data0; } + // clock and reset can be omitted + // if there is a single clock and reset in the module + always_ff { + r_data2 = r_data1; + } + assign o_data = r_data1; } @@ -1698,12 +1706,14 @@

Typedef

If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml.

+

If there is a single clock and reset in the module, clock and reset specification can be omitted.

module ModuleA (
     i_clk: input clock,
     i_rst: input reset,
 ) {
     var a: logic<10>;
     var b: logic<10>;
+    var c: logic<10>;
 
     always_ff (i_clk) {
         a = 1;
@@ -1716,6 +1726,14 @@ 

Typedef

b = 1; } } + + always_ff { + if_reset { + c = 0; + } else { + c = 1; + } + } }

Combinational

diff --git a/nightly/book/searchindex.js b/nightly/book/searchindex.js index b705f4bd..cc4d4dbc 100644 --- a/nightly/book/searchindex.js +++ b/nightly/book/searchindex.js @@ -1 +1 @@ -Object.assign(window.search, {"doc_urls":["01_introduction.html#the-veryl-hardware-description-language","01_introduction.html#optimized-syntax","01_introduction.html#interoperability","01_introduction.html#productivity","02_features.html#features","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#getting-started","03_getting_started/01_installation.html#installation","03_getting_started/01_installation.html#requirement","03_getting_started/01_installation.html#choose-a-way-of-installation","03_getting_started/01_installation.html#download-binary","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#editor-integration","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#other-editors","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#create-project","03_getting_started/02_hello_world.html#write-code","03_getting_started/02_hello_world.html#build-code","04_code_examples.html#code-examples","04_code_examples/01_module.html#module","04_code_examples/02_instantiation.html#instantiation","04_code_examples/03_interface.html#interface","04_code_examples/04_package.html#package","05_language_reference.html#language-reference","05_language_reference/01_source_code_structure.html#source-code-structure","05_language_reference/02_lexical_structure.html#lexical-structure","05_language_reference/02_lexical_structure.html#encoding","05_language_reference/02_lexical_structure.html#white-space","05_language_reference/02_lexical_structure.html#comment","05_language_reference/02_lexical_structure.html#documentation-comment","05_language_reference/02_lexical_structure.html#identifier","05_language_reference/02_lexical_structure.html#string","05_language_reference/02_lexical_structure/01_operator.html#operator","05_language_reference/02_lexical_structure/02_number.html#number","05_language_reference/02_lexical_structure/02_number.html#integer","05_language_reference/02_lexical_structure/02_number.html#set-all-bits","05_language_reference/02_lexical_structure/02_number.html#widthless-integer","05_language_reference/02_lexical_structure/02_number.html#set-sized-bits","05_language_reference/02_lexical_structure/02_number.html#floating-point","05_language_reference/02_lexical_structure/03_array_literal.html#array-literal","05_language_reference/03_data_type.html#data-type","05_language_reference/03_data_type/01_builtin_type.html#builtin-type","05_language_reference/03_data_type/01_builtin_type.html#4-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#2-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#integer-type","05_language_reference/03_data_type/01_builtin_type.html#floating-point-type","05_language_reference/03_data_type/01_builtin_type.html#string-type","05_language_reference/03_data_type/01_builtin_type.html#type-type","05_language_reference/03_data_type/02_user_defined_type.html#user-defined-type","05_language_reference/03_data_type/02_user_defined_type.html#struct","05_language_reference/03_data_type/02_user_defined_type.html#enum","05_language_reference/03_data_type/02_user_defined_type.html#union","05_language_reference/03_data_type/02_user_defined_type.html#typedef","05_language_reference/03_data_type/03_array.html#array","05_language_reference/03_data_type/04_clock_reset.html#clock--reset","05_language_reference/04_expression.html#expression","05_language_reference/04_expression/01_operator_precedence.html#operator-precedence","05_language_reference/04_expression/02_function_call.html#function-call","05_language_reference/04_expression/03_concatenation.html#concatenation","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#bit-select","05_language_reference/04_expression/07_range.html#range","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#statement","05_language_reference/05_statement/01_assignment.html#assignment","05_language_reference/05_statement/02_function_call.html#function-call","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#declaration","05_language_reference/06_declaration/01_variable.html#variable","05_language_reference/06_declaration/02_parameter.html#parameter","05_language_reference/06_declaration/03_register.html#register","05_language_reference/06_declaration/04_combinational.html#combinational","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#function","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#attribute","05_language_reference/06_declaration/08_attribute.html#sv-attribute","05_language_reference/06_declaration/09_generate.html#generate","05_language_reference/06_declaration/10_instantiation.html#instantiation","05_language_reference/06_declaration/11_named_block.html#named-block","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#module","05_language_reference/08_interface.html#interface","05_language_reference/09_package.html#package","05_language_reference/10_systemverilog_interoperation.html#systemverilog-interoperation","05_language_reference/11_visibility.html#visibility","05_language_reference/12_foreign_language_integration.html#foreign-language-integration","05_language_reference/12_foreign_language_integration.html#embed-declaration","05_language_reference/12_foreign_language_integration.html#include-declaration","05_language_reference/13_integrated_test.html#integrated-test","05_language_reference/14_generics.html#generics","05_language_reference/14_generics.html#generic-function","05_language_reference/14_generics.html#generic-moduleinterface","05_language_reference/14_generics.html#generic-package","05_language_reference/14_generics.html#generic-struct","06_development_environment.html#development-environment","06_development_environment/01_project_configuration.html#project-configuration","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#dependencies","06_development_environment/02_dependencies.html#usage-of-dependency","06_development_environment/02_dependencies.html#version-requirement","06_development_environment/03_publish_project.html#publish-project","06_development_environment/03_publish_project.html#version-bump","06_development_environment/03_publish_project.html#configuration","06_development_environment/04_directory_layout.html#directory-layout","06_development_environment/04_directory_layout.html#single-source-directory","06_development_environment/04_directory_layout.html#single-source-and-target-directory","06_development_environment/04_directory_layout.html#multi-source-directory","06_development_environment/04_directory_layout.html#about-gitignore","06_development_environment/05_formatter.html#formatter","06_development_environment/06_linter.html#linter","06_development_environment/06_linter.html#the-lintnaming-section","06_development_environment/07_simulator.html#simulator","06_development_environment/07_simulator.html#the-test-section","06_development_environment/07_simulator.html#the-testverilator-section","06_development_environment/07_simulator.html#the-testvcs-section","06_development_environment/07_simulator.html#the-testvivado-section","06_development_environment/08_language_server.html#language-server","06_development_environment/09_compatibility.html#compatibility","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#string-parameter","06_development_environment/10_documentation.html#documentation","06_development_environment/11_github_action.html#github-action","07_appendix.html#appendix","07_appendix/01_formal_syntax.html#formal-syntax","07_appendix/02_semantic_error.html#semantic-error","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":10,"breadcrumbs":5,"title":4},"1":{"body":41,"breadcrumbs":3,"title":2},"10":{"body":38,"breadcrumbs":3,"title":2},"100":{"body":18,"breadcrumbs":6,"title":2},"101":{"body":25,"breadcrumbs":5,"title":1},"102":{"body":58,"breadcrumbs":5,"title":1},"103":{"body":17,"breadcrumbs":7,"title":2},"104":{"body":60,"breadcrumbs":7,"title":2},"105":{"body":84,"breadcrumbs":4,"title":1},"106":{"body":53,"breadcrumbs":4,"title":1},"107":{"body":24,"breadcrumbs":4,"title":1},"108":{"body":30,"breadcrumbs":6,"title":2},"109":{"body":35,"breadcrumbs":4,"title":1},"11":{"body":75,"breadcrumbs":4,"title":3},"110":{"body":0,"breadcrumbs":8,"title":3},"111":{"body":36,"breadcrumbs":7,"title":2},"112":{"body":19,"breadcrumbs":7,"title":2},"113":{"body":72,"breadcrumbs":6,"title":2},"114":{"body":82,"breadcrumbs":4,"title":1},"115":{"body":15,"breadcrumbs":5,"title":2},"116":{"body":17,"breadcrumbs":5,"title":2},"117":{"body":15,"breadcrumbs":5,"title":2},"118":{"body":33,"breadcrumbs":5,"title":2},"119":{"body":10,"breadcrumbs":4,"title":2},"12":{"body":38,"breadcrumbs":3,"title":2},"120":{"body":68,"breadcrumbs":6,"title":2},"121":{"body":8,"breadcrumbs":6,"title":2},"122":{"body":14,"breadcrumbs":6,"title":2},"123":{"body":29,"breadcrumbs":6,"title":2},"124":{"body":37,"breadcrumbs":6,"title":2},"125":{"body":7,"breadcrumbs":6,"title":2},"126":{"body":19,"breadcrumbs":6,"title":2},"127":{"body":10,"breadcrumbs":6,"title":2},"128":{"body":6,"breadcrumbs":6,"title":2},"129":{"body":18,"breadcrumbs":6,"title":2},"13":{"body":42,"breadcrumbs":5,"title":4},"130":{"body":25,"breadcrumbs":6,"title":2},"131":{"body":27,"breadcrumbs":6,"title":2},"132":{"body":36,"breadcrumbs":6,"title":2},"133":{"body":26,"breadcrumbs":6,"title":2},"134":{"body":13,"breadcrumbs":6,"title":2},"135":{"body":10,"breadcrumbs":6,"title":2},"136":{"body":9,"breadcrumbs":6,"title":2},"137":{"body":8,"breadcrumbs":6,"title":2},"138":{"body":10,"breadcrumbs":6,"title":2},"139":{"body":8,"breadcrumbs":6,"title":2},"14":{"body":33,"breadcrumbs":5,"title":4},"140":{"body":8,"breadcrumbs":6,"title":2},"141":{"body":65,"breadcrumbs":4,"title":1},"142":{"body":52,"breadcrumbs":5,"title":2},"143":{"body":85,"breadcrumbs":5,"title":2},"144":{"body":94,"breadcrumbs":6,"title":2},"145":{"body":53,"breadcrumbs":6,"title":2},"146":{"body":36,"breadcrumbs":5,"title":1},"147":{"body":20,"breadcrumbs":6,"title":2},"148":{"body":61,"breadcrumbs":7,"title":3},"149":{"body":39,"breadcrumbs":8,"title":4},"15":{"body":29,"breadcrumbs":3,"title":2},"150":{"body":40,"breadcrumbs":7,"title":3},"151":{"body":17,"breadcrumbs":5,"title":1},"152":{"body":32,"breadcrumbs":4,"title":1},"153":{"body":24,"breadcrumbs":4,"title":1},"154":{"body":430,"breadcrumbs":5,"title":2},"155":{"body":36,"breadcrumbs":4,"title":1},"156":{"body":18,"breadcrumbs":5,"title":2},"157":{"body":20,"breadcrumbs":5,"title":2},"158":{"body":20,"breadcrumbs":5,"title":2},"159":{"body":26,"breadcrumbs":5,"title":2},"16":{"body":40,"breadcrumbs":3,"title":2},"160":{"body":30,"breadcrumbs":6,"title":2},"161":{"body":13,"breadcrumbs":4,"title":1},"162":{"body":0,"breadcrumbs":4,"title":1},"163":{"body":20,"breadcrumbs":5,"title":2},"164":{"body":125,"breadcrumbs":4,"title":1},"165":{"body":106,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":2,"title":1},"167":{"body":2256,"breadcrumbs":5,"title":2},"168":{"body":0,"breadcrumbs":5,"title":2},"169":{"body":0,"breadcrumbs":4,"title":1},"17":{"body":42,"breadcrumbs":5,"title":4},"170":{"body":0,"breadcrumbs":4,"title":1},"171":{"body":0,"breadcrumbs":4,"title":1},"172":{"body":0,"breadcrumbs":4,"title":1},"173":{"body":0,"breadcrumbs":4,"title":1},"174":{"body":0,"breadcrumbs":4,"title":1},"175":{"body":0,"breadcrumbs":4,"title":1},"176":{"body":0,"breadcrumbs":4,"title":1},"177":{"body":0,"breadcrumbs":4,"title":1},"178":{"body":0,"breadcrumbs":4,"title":1},"179":{"body":0,"breadcrumbs":4,"title":1},"18":{"body":31,"breadcrumbs":3,"title":2},"180":{"body":0,"breadcrumbs":4,"title":1},"181":{"body":0,"breadcrumbs":4,"title":1},"182":{"body":0,"breadcrumbs":4,"title":1},"183":{"body":0,"breadcrumbs":4,"title":1},"184":{"body":0,"breadcrumbs":4,"title":1},"185":{"body":0,"breadcrumbs":4,"title":1},"186":{"body":0,"breadcrumbs":4,"title":1},"187":{"body":0,"breadcrumbs":4,"title":1},"188":{"body":0,"breadcrumbs":4,"title":1},"189":{"body":0,"breadcrumbs":4,"title":1},"19":{"body":35,"breadcrumbs":2,"title":1},"190":{"body":0,"breadcrumbs":4,"title":1},"191":{"body":0,"breadcrumbs":4,"title":1},"192":{"body":0,"breadcrumbs":4,"title":1},"193":{"body":0,"breadcrumbs":4,"title":1},"2":{"body":27,"breadcrumbs":2,"title":1},"20":{"body":13,"breadcrumbs":3,"title":2},"21":{"body":42,"breadcrumbs":3,"title":2},"22":{"body":11,"breadcrumbs":4,"title":2},"23":{"body":10,"breadcrumbs":4,"title":1},"24":{"body":9,"breadcrumbs":4,"title":1},"25":{"body":0,"breadcrumbs":6,"title":3},"26":{"body":6,"breadcrumbs":5,"title":2},"27":{"body":7,"breadcrumbs":4,"title":1},"28":{"body":7,"breadcrumbs":5,"title":2},"29":{"body":28,"breadcrumbs":6,"title":3},"3":{"body":46,"breadcrumbs":2,"title":1},"30":{"body":23,"breadcrumbs":5,"title":2},"31":{"body":11,"breadcrumbs":4,"title":1},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":39,"breadcrumbs":6,"title":2},"34":{"body":60,"breadcrumbs":6,"title":2},"35":{"body":64,"breadcrumbs":6,"title":2},"36":{"body":66,"breadcrumbs":4,"title":2},"37":{"body":112,"breadcrumbs":4,"title":1},"38":{"body":100,"breadcrumbs":4,"title":1},"39":{"body":63,"breadcrumbs":4,"title":1},"4":{"body":47,"breadcrumbs":2,"title":1},"40":{"body":26,"breadcrumbs":4,"title":1},"41":{"body":6,"breadcrumbs":4,"title":2},"42":{"body":34,"breadcrumbs":8,"title":3},"43":{"body":10,"breadcrumbs":6,"title":2},"44":{"body":6,"breadcrumbs":5,"title":1},"45":{"body":10,"breadcrumbs":6,"title":2},"46":{"body":17,"breadcrumbs":5,"title":1},"47":{"body":14,"breadcrumbs":6,"title":2},"48":{"body":19,"breadcrumbs":5,"title":1},"49":{"body":7,"breadcrumbs":5,"title":1},"5":{"body":29,"breadcrumbs":4,"title":3},"50":{"body":95,"breadcrumbs":6,"title":1},"51":{"body":0,"breadcrumbs":6,"title":1},"52":{"body":15,"breadcrumbs":6,"title":1},"53":{"body":10,"breadcrumbs":7,"title":2},"54":{"body":29,"breadcrumbs":7,"title":2},"55":{"body":24,"breadcrumbs":8,"title":3},"56":{"body":9,"breadcrumbs":7,"title":2},"57":{"body":35,"breadcrumbs":8,"title":2},"58":{"body":5,"breadcrumbs":6,"title":2},"59":{"body":0,"breadcrumbs":8,"title":2},"6":{"body":18,"breadcrumbs":3,"title":2},"60":{"body":31,"breadcrumbs":12,"title":6},"61":{"body":29,"breadcrumbs":12,"title":6},"62":{"body":32,"breadcrumbs":8,"title":2},"63":{"body":25,"breadcrumbs":9,"title":3},"64":{"body":7,"breadcrumbs":8,"title":2},"65":{"body":23,"breadcrumbs":8,"title":2},"66":{"body":0,"breadcrumbs":10,"title":3},"67":{"body":31,"breadcrumbs":8,"title":1},"68":{"body":37,"breadcrumbs":8,"title":1},"69":{"body":39,"breadcrumbs":8,"title":1},"7":{"body":25,"breadcrumbs":3,"title":2},"70":{"body":23,"breadcrumbs":8,"title":1},"71":{"body":112,"breadcrumbs":6,"title":1},"72":{"body":131,"breadcrumbs":8,"title":2},"73":{"body":12,"breadcrumbs":4,"title":1},"74":{"body":30,"breadcrumbs":7,"title":2},"75":{"body":27,"breadcrumbs":7,"title":2},"76":{"body":28,"breadcrumbs":5,"title":1},"77":{"body":30,"breadcrumbs":3,"title":0},"78":{"body":54,"breadcrumbs":5,"title":1},"79":{"body":29,"breadcrumbs":7,"title":2},"8":{"body":23,"breadcrumbs":3,"title":2},"80":{"body":26,"breadcrumbs":5,"title":1},"81":{"body":30,"breadcrumbs":7,"title":2},"82":{"body":46,"breadcrumbs":7,"title":2},"83":{"body":9,"breadcrumbs":4,"title":1},"84":{"body":76,"breadcrumbs":5,"title":1},"85":{"body":14,"breadcrumbs":7,"title":2},"86":{"body":22,"breadcrumbs":3,"title":0},"87":{"body":32,"breadcrumbs":5,"title":1},"88":{"body":23,"breadcrumbs":3,"title":0},"89":{"body":18,"breadcrumbs":5,"title":1},"9":{"body":80,"breadcrumbs":2,"title":1},"90":{"body":47,"breadcrumbs":3,"title":0},"91":{"body":4,"breadcrumbs":4,"title":1},"92":{"body":62,"breadcrumbs":5,"title":1},"93":{"body":27,"breadcrumbs":5,"title":1},"94":{"body":85,"breadcrumbs":5,"title":1},"95":{"body":17,"breadcrumbs":5,"title":1},"96":{"body":11,"breadcrumbs":5,"title":1},"97":{"body":37,"breadcrumbs":5,"title":1},"98":{"body":20,"breadcrumbs":7,"title":2},"99":{"body":5,"breadcrumbs":5,"title":1}},"docs":{"0":{"body":"Veryl Veryl is a hardware description language based on SystemVerilog, providing the following advantages:","breadcrumbs":"Introduction » The Veryl Hardware Description Language","id":"0","title":"The Veryl Hardware Description Language"},"1":{"body":"Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.","breadcrumbs":"Introduction » Optimized Syntax","id":"1","title":"Optimized Syntax"},"10":{"body":"Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems. SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"Features » Trailing comma","id":"10","title":"Trailing comma"},"100":{"body":"SV attribute represents SystemVerilog attribute. It will be transpiled to SystemVerilog attribute (* *). module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » Attribute » SV Attribute","id":"100","title":"SV Attribute"},"101":{"body":"Declaration can be generated by for and if. Label which is shown by : is required to idenfity the generated declarations. module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // label of else clause can be omit assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » Generate » Generate","id":"101","title":"Generate"},"102":{"body":"inst keyword represents instantiation of modula and interface. The name of instance is placed after inst keyword, and the type of instance is placed after :. Parameter override is #(), and port connection is (). module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // Parameter assignment by name paramB: 10, ) ( a , // Port connection by name bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » Instantiation » Instantiation","id":"102","title":"Instantiation"},"103":{"body":"Label can be added to {} block. The named block has an individual namespace. module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » Named Block » Named Block","id":"103","title":"Named Block"},"104":{"body":"import declaration imports symbols from other packages. It can be placed at the top level or as a module/interface/package item. Wildcard pattern like package::* can be used as an argument of import declaration. // file scope import\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export declaration exports symbols from the package to other. export * represents to export all symbols. package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic. Overridable parameters can be declared in #(). Each parameter declaration is started by param keyword. After the keyword, an identifier, :, the type of the parameter, and a default value are placed. Connection ports can be declared in (). Each port declaration is constructed by an identifier, :, port direction, and the type of the port. The available port directions are: input: input port output: output port inout: bi-directional port modport: modport of interface module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » Module » Module","id":"105","title":"Module"},"106":{"body":"Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions. Overridable parameters are the same as them of module. In interface definitions, modport can be declared. modport can be used as bundled port connection at the port declaration of module. interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » Interface » Interface","id":"106","title":"Interface"},"107":{"body":"Package is one of top level components in source code. Package can organize some declarations like parameter and function. To access an item in a package, :: symbol can be used like PackageA::ParamA. package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » Package » Package","id":"107","title":"Package"},"108":{"body":"If you want to access to items of SystemVerilog, $sv namespace can be used. For example, \"ModuleA\" in SystemVerilog source code can be accessed by $sv::ModuleA. Veryl don't check the existence of the items. module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilog Interoperation » SystemVerilog Interoperation","id":"108","title":"SystemVerilog Interoperation"},"109":{"body":"By default, all top level items of a project (module, interface and package) are private. The \"private\" means they are not visible from other project. pub keyword can be used to specify an item as public to other project. veryl doc will generate documents of public items only. pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » Visibility » Visibility","id":"109","title":"Visibility"},"11":{"body":"There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code. Additionally, explicit clock and reset type enables to check whether clock and reset are correctly connected to registers. SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff (i_clk, i_rst) { if_reset { } else { } }\n}","breadcrumbs":"Features » Abstraction of clock and reset","id":"11","title":"Abstraction of clock and reset"},"110":{"body":"","breadcrumbs":"Language Reference » Foreign Language Integration » Foreign Language Integration","id":"110","title":"Foreign Language Integration"},"111":{"body":"embed declaration can embed the code of foreign languages. The first argument of embed declaration shows the way of embedding. The following ways are supported: inline: expand the code as is The code block are started by lang{{{ and ended by }}}. The following lang specifiers are supported: sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » Foreign Language Integration » embed declaration","id":"111","title":"embed declaration"},"112":{"body":"include declaration can include a file of foreign languages. The first argument is the same as embed declaration, and the second is a relative file path from the source code. include(inline, \"module.sv\");","breadcrumbs":"Language Reference » Foreign Language Integration » include declaration","id":"112","title":"include declaration"},"113":{"body":"Integrated test can be marked by #[test(test_name)] attribute. The marked block will be identified as test, and executed through veryl test command. The top level module of the block must have the same name as the test name. The messages through $info, $warning, $error and $fatal system function are handled by Veryl compiler, and shown as exectution log. The calls of $error and $fatal are treated as test failure. The following example, a SystemVerilog source code embeded by embed declaration are marked as test. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} About RTL simulator used by veryl test, see Simulator .","breadcrumbs":"Language Reference » Integrated Test » Integrated Test","id":"113","title":"Integrated Test"},"114":{"body":"Generics can define parameterized items which can't achieved by parameter override. The following items support generics: function module interface package struct union Each generic definition has generic parameters (often an uppercase letter is used like T) which can be placed as identifier or expression in the definition. Generic parameters are declarated after item's identifier with ::<>. At the usage of generics, actual parameters can be given through ::<>. As the actual parameters, numeric literal and identifier concatenated by :: can be used. Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can't be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.","breadcrumbs":"Language Reference » Generics » Generics","id":"114","title":"Generics"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » Generics » Generic Function","id":"115","title":"Generic Function"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » Generics » Generic Module/Interface","id":"116","title":"Generic Module/Interface"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » Generics » Generic Package","id":"117","title":"Generic Package"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // local defined type can be used // because `TypeA` is accessible at the definition of `StructA` var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » Generics » Generic Struct","id":"118","title":"Generic Struct"},"119":{"body":"In this chapter, we'll discuss about development environment including project configuration and development tools.","breadcrumbs":"Development Environment » Development Environment","id":"119","title":"Development Environment"},"12":{"body":"Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also MarkDown format or waveform descriptions using WaveDrom . SystemVerilog\nVeryl // Comment\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"Features » Documentation comment","id":"12","title":"Documentation comment"},"120":{"body":"[project] --- Defines a project. name --- The name of the project. version --- The version of the project. authors --- The authors of the project. description --- A description of the project. license --- The project license. repository --- URL of the project source repository. [build] --- Build settings. clock_type --- The type of clock. reset_type --- The type of reset. filelist_type --- The type of filelist. target --- The way of output. implicit_parameter_types --- Whether implicit parameter type is enabled. omit_project_prefix --- Whether omit project prefix. strip_comments --- Whether strip comments. [format] --- Format settings. [lint] --- Lint settings. [test] --- Test settings. [publish] --- Publish settings. [dependencies] --- Library dependencies.","breadcrumbs":"Development Environment » Project Configuration » Project Configuration","id":"120","title":"Project Configuration"},"121":{"body":"The first section of Veryl.toml is [project]. The mandatory fields are name and version.","breadcrumbs":"Development Environment » Project Configuration » The [project] section","id":"121","title":"The [project] section"},"122":{"body":"The project name is used as prefix in the generated codes. So the name must start with alphabet or _, and use only alphanumeric charaters or _.","breadcrumbs":"Development Environment » Project Configuration » The name field","id":"122","title":"The name field"},"123":{"body":"The project version should follow Semantic Versioning . The version is constructed by the following three numbers. Major -- increment at incompatible changes Minor -- increment at adding features with backward compatibility Patch -- increment at bug fixes with backward compatibility [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » Project Configuration » The version field","id":"123","title":"The version field"},"124":{"body":"The optional authors field lists in an array the people or organizations that are considered the \"authors\" of the project. The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used. [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » Project Configuration » The authors field","id":"124","title":"The authors field"},"125":{"body":"The description is a short blurb about the project. This should be plane text (not Markdown).","breadcrumbs":"Development Environment » Project Configuration » The description field","id":"125","title":"The description field"},"126":{"body":"The license field contains the name of license that the project is released under. The string should be follow SPDX 2.3 license expression . [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » Project Configuration » The license field","id":"126","title":"The license field"},"127":{"body":"The repository field should be a URL to the source repository for the project. [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » Project Configuration » The repository field","id":"127","title":"The repository field"},"128":{"body":"The [build] section contains the configurations of code generation.","breadcrumbs":"Development Environment » Project Configuration » The [build] section","id":"128","title":"The [build] section"},"129":{"body":"The clock_type field specifies which clock edge is used to drive flip-flop. The available types are below: posedge -- positive edge negedge -- negetive edge","breadcrumbs":"Development Environment » Project Configuration » The clock_type field","id":"129","title":"The clock_type field"},"13":{"body":"There is no dedicated non-blocking assignment operator; within always_ff, non-blocking assignments are inferred, while within always_comb, blocking assignments are inferred. Therefore, various compound assignment operators can be used within always_ff just like within always_comb. SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"Features » Compound assignment operator in always_ff","id":"13","title":"Compound assignment operator in always_ff"},"130":{"body":"The reset_type field specifies reset polarity and synchronisity. The available types are below: async_low -- asynchronous and active low async_high -- asynchronous and active high sync_low -- synchronous and active low sync_high -- synchronous and active high","breadcrumbs":"Development Environment » Project Configuration » The reset_type field","id":"130","title":"The reset_type field"},"131":{"body":"The filelist_type field specifies filelist format. The available types are below: absolute -- plane text filelist including absolute file paths relative -- plane text filelist including relative file paths flgen -- flgen filelist","breadcrumbs":"Development Environment » Project Configuration » The filelist_type field","id":"131","title":"The filelist_type field"},"132":{"body":"The target field specifies where the generated codes will be placed at. The available types are below: source -- as the same directory as the source code directory -- specified directory bundle -- specified file If you want to use directory or bundle, you should specify the target path by path key. [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » Project Configuration » The target field","id":"132","title":"The target field"},"133":{"body":"The implicit_parameter_types field lists the types which will be elided in parameter declaration of the generated codes. This is because some EDA tools don't support parameter declaration with specific types (ex.string). If you want to elide string, you can specify like below: [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » Project Configuration » The implicit_parameter_types field","id":"133","title":"The implicit_parameter_types field"},"134":{"body":"If omit_project_prefix is set to true, the project prefix of module/interface/package name will be omitted. This is false by default. [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » Project Configuration » The omit_project_prefix field","id":"134","title":"The omit_project_prefix field"},"135":{"body":"If strip_comments is set to true, all comments will be stripped. This is false by default. [build]\nstrip_comments = true","breadcrumbs":"Development Environment » Project Configuration » The strip_comments field","id":"135","title":"The strip_comments field"},"136":{"body":"The [format] section contains the configurations of code formatter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [format] section","id":"136","title":"The [format] section"},"137":{"body":"The [lint] section contains the configurations of linter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [lint] section","id":"137","title":"The [lint] section"},"138":{"body":"The [test] section contains the configurations of test by RTL simulator. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [test] section","id":"138","title":"The [test] section"},"139":{"body":"The [publish] section contains the configurations of publishing. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [publish] section","id":"139","title":"The [publish] section"},"14":{"body":"Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions. SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"Features » Individual namespace of enum variant","id":"14","title":"Individual namespace of enum variant"},"140":{"body":"The [dependencies] section contains library dependencies. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [dependencies] section","id":"140","title":"The [dependencies] section"},"141":{"body":"If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies] section in Veryl.toml. The left hand side of entry is path to the dependency, and the right hand side is version. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" By default, the namespace of the dependency is the same as the project name of the dependency. If you want to specify namespace, you can use name field. [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} If you want to use many versions of the same dependency path, you can specify each name. [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » Dependencies » Dependencies","id":"141","title":"Dependencies"},"142":{"body":"After adding dependencies to Veryl.toml, you can use moudle, interface and package in the dependencies. The following example uses delay module in the veryl_sample dependency. module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} Note: The result of play button in the above code is not exact because it doesn't use dependency resolution. Actually the module name becomes veryl_samlle_delay","breadcrumbs":"Development Environment » Dependencies » Usage of dependency","id":"142","title":"Usage of dependency"},"143":{"body":"The version field of [dependencies] section shows version requirement. For example, version = \"0.1.0\" means the latest version which has compatibility with 0.1.0. The compatibility is judged by Semantic Versioning . A version is constructed from the following three parts. MAJOR version when you make incompatible API changes MINOR version when you add functionality in a backwards compatible manner PATCH version when you make backwards compatible bug fixes If MAJOR version is 0, MINOR is interpreted as incompatible changes. If there are 0.1.0 and 0.1.1 and 0.2.0, 0.1.1 will be selected. This is because 0.1.0 is compatible with 0.1.0. 0.1.1 is compatible with 0.1.0. 0.2.0 is not compatible with 0.1.0. 0.1.1 is the latest in the compatible versions. The version field allows other version requirement reprensentation like =0.1.0. Please see version requirement of Rust for detailed information: Specifying Dependencies .","breadcrumbs":"Development Environment » Dependencies » Version Requirement","id":"143","title":"Version Requirement"},"144":{"body":"To publish your project, veryl publish can be used. Publising means to associate a version with a git revision. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish generates Veryl.pub which contains published version information like below. [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" After generating Veryl.pub, publishing sequence is completed by git add, commit and push. The git branch to be committed must be the default branch because Veryl search Veryl.pub in the default branch. $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push If you enable automatic commit by publish_commit in [publish] section of Veryl.toml, git add and commit will be executed after publish. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Publish Project","id":"144","title":"Publish Project"},"145":{"body":"You can bump version with publish at the same time by --bump option. As the same as publish, bump_commit in [publish] section of Veryl.toml can specify automatic commit after bump version. $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Version Bump","id":"145","title":"Version Bump"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" Configuration Value Default Description bump_commit boolean false automatic commit after bump publish_commit boolean false automatic commit after publish bump_commit_mesasge string \"chore: Bump version\" commit message after bump publish_commit_mesasge string \"chore: Publish\" commit message after publish","breadcrumbs":"Development Environment » Publish Project » Configuration","id":"146","title":"Configuration"},"147":{"body":"Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different. In this section, we suggest some directory layout patterns.","breadcrumbs":"Development Environment » Directory Layout » Directory Layout","id":"147","title":"Directory Layout"},"148":{"body":"This pattern contains all sources in src directory. In src, you can configure arbitrary sub directories. $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl gathers all *.veryl files and generates codes at the same directory as the source by default. You can show the behaviour explicitly by the following configuration. [build]\ntarget = \"source\" After veryl build, the directory structure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source directory","id":"148","title":"Single source directory"},"149":{"body":"If you want to place the generated codes into a directory, you can use target configure in [build] section of Veryl.toml. [build]\ntarget = {type = \"directory\", path = \"target\"} The directory layout of this configure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source and target directory","id":"149","title":"Single source and target directory"},"15":{"body":"By adopting the explicit repeat syntax as a repetition description in bit concatenation, readability improves over complex combinations of {}. SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"Features » repeat of concatenation","id":"15","title":"repeat of concatenation"},"150":{"body":"If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure. $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files The generated prj.f lists all generated files. So you can use it along with the existing SystemVerilog filelists.","breadcrumbs":"Development Environment » Directory Layout » Multi source directory","id":"150","title":"Multi source directory"},"151":{"body":"Veryl doesn't provide the default .gitignore. This is because which files should be ignored is different by each projects. The candidates of .gitignore is below: dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » Directory Layout » About .gitignore","id":"151","title":"About .gitignore"},"152":{"body":"Source code can be formatted by veryl fmt command. Alternatively, language server support formatting through textDocument/formatting request. The available configurations are below. These can be specified in [format] section of Veryl.toml. [format]\nindent_width = 4 Configuration Value Description indent_width integer indent width by space","breadcrumbs":"Development Environment » Formatter » Formatter","id":"152","title":"Formatter"},"153":{"body":"Lint check is executed at veryl check or veryl build. Alternatively, language server checks lint in real time. The available configurations are below. These can be specified in [lint] section of Veryl.toml. [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » Linter » Linter","id":"153","title":"Linter"},"154":{"body":"This section contains configurations of naming conventions. Configuration Value Description case_enum case type [1] case style of enum case_function case type [1] case style of function case_instance case type [1] case style of instance case_interface case type [1] case style of interface case_modport case type [1] case style of modport case_module case type [1] case style of module case_package case type [1] case style of package case_parameter case type [1] case style of parameter case_port_inout case type [1] case style of inout port case_port_input case type [1] case style of input port case_port_modport case type [1] case style of modport port case_port_output case type [1] case style of output port case_reg case type [1] case style of register type variable [2] case_struct case type [1] case style of struct case_wire case type [1] case style of wire type variable [3] prefix_enum string prefix of enum prefix_function string prefix of function prefix_instance string prefix of instance prefix_interface string prefix of interface prefix_modport string prefix of modport prefix_module string prefix of module prefix_package string prefix of package prefix_parameter string prefix of parameter prefix_port_inout string prefix of inout port prefix_port_input string prefix of input port prefix_port_modport string prefix of modport port prefix_port_output string prefix of output port prefix_reg string prefix of register type variable [2] prefix_struct string prefix of struct prefix_wire string prefix of wire type variable [3] re_forbidden_enum regex [4] regex forbidden of enum re_forbidden_function regex [4] regex forbidden of function re_forbidden_instance regex [4] regex forbidden of instance re_forbidden_interface regex [4] regex forbidden of interface re_forbidden_modport regex [4] regex forbidden of modport re_forbidden_module regex [4] regex forbidden of module re_forbidden_package regex [4] regex forbidden of package re_forbidden_parameter regex [4] regex forbidden of parameter re_forbidden_port_inout regex [4] regex forbidden of inout port re_forbidden_port_input regex [4] regex forbidden of input port re_forbidden_port_modport regex [4] regex forbidden of modport port re_forbidden_port_output regex [4] regex forbidden of output port re_forbidden_reg regex [4] regex forbidden of register type variable [2] re_forbidden_struct regex [4] regex forbidden of struct re_forbidden_wire regex [4] regex forbidden of wire type variable [3] re_required_enum regex [4] regex required of enum re_required_function regex [4] regex required of function re_required_instance regex [4] regex required of instance re_required_interface regex [4] regex required of interface re_required_modport regex [4] regex required of modport re_required_module regex [4] regex required of module re_required_package regex [4] regex required of package re_required_parameter regex [4] regex required of parameter re_required_port_inout regex [4] regex required of inout port re_required_port_input regex [4] regex required of input port re_required_port_modport regex [4] regex required of modport port re_required_port_output regex [4] regex required of output port re_required_reg regex [4] regex required of register type variable [2] re_required_struct regex [4] regex required of struct re_required_wire regex [4] regex required of wire type variable [3] The available values are \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase Regular expression string like \".*\". The available syntax is here . Register type means that the variable is assigned in always_ff. It will be mapped to flip-flop in synthesis phase. Wire type means that the variable is assigned in always_comb. It will be mapped to wire in synthesis phase.","breadcrumbs":"Development Environment » Linter » The [lint.naming] section","id":"154","title":"The [lint.naming] section"},"155":{"body":"Test by RTL simulator is executed through veryl test. Supported simulators are below: Verilator Synopsys VCS AMD Vivado Simulator Verilator is the default simulator. If no simulator is specified through Veryl.toml and commandline option, it will be used. The available configurations are below. These can be specified in [test] section of Veryl.toml. [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » Simulator » Simulator","id":"155","title":"Simulator"},"156":{"body":"This section contains configurations of test. Configuration Value Description simulator simulator name [1] default simulator The available values are \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » Simulator » The [test] section","id":"156","title":"The [test] section"},"157":{"body":"This section contains configurations of test by Verilator. Configuration Value Description compile_args [string] additional arguments to verilator command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.verilator] section","id":"157","title":"The [test.verilator] section"},"158":{"body":"This section contains configurations of test by VCS. Configuration Value Description compile_args [string] additional arguments to vcs command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.vcs] section","id":"158","title":"The [test.vcs] section"},"159":{"body":"This section contains configurations of test by Vivado. Configuration Value Description compile_args [string] additional arguments to xvlog command elaborate_args [string] additional arguments to xelab command simulate_args [string] additional arguments to xsim command","breadcrumbs":"Development Environment » Simulator » The [test.vivado] section","id":"159","title":"The [test.vivado] section"},"16":{"body":"By adopting if and case expressions instead of the ternary operator, readability improves, especially when comparing a large number of items. SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"Features » if / case expression","id":"16","title":"if / case expression"},"160":{"body":"veryl-ls is a language server binary. If you want to use it, editor configuration or plugin to use it is required. The available configurations are below. These can be specified by each editor's config. Configuration Value Default Description useOperatorCompletion boolean false use operator (e.g. '>:', '>>') completion","breadcrumbs":"Development Environment » Language Server » Language Server","id":"160","title":"Language Server"},"161":{"body":"Some tools supporting SystemVerilog don't support some features. Code generation can be customized by configuration of Veryl.toml to support these tools.","breadcrumbs":"Development Environment » Compatibility » Compatibility","id":"161","title":"Compatibility"},"162":{"body":"","breadcrumbs":"Development Environment » Compatibility » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivado don't support parameter which is typed as string. parameter string a = \"A\"; So you can use implicit_parameter_types like below: [build]\nimplicit_parameter_types = [\"string\"] By the configuration, the generated code becomes like below: parameter a = \"A\";","breadcrumbs":"Development Environment » Compatibility » String parameter","id":"163","title":"String parameter"},"164":{"body":"Documant of project can be generated by veryl doc command. All public modules, interfaces and packages will be listed in it. (See Visibility ) If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used. Waveform description based on WaveDrom is supported too. In a wavedrom code block, the syntax of WaveDrom can be written. Please refer Tutorial for the detailed syntax. /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} The available configurations are below. These can be specified in [doc] section of Veryl.toml. [doc]\npath = \"document\" Configuration Value Default Description path string \"doc\" path to output directory","breadcrumbs":"Development Environment » Documentation » Documentation","id":"164","title":"Documentation"},"165":{"body":"The official GitHub action to download a prebuilt binary of Veryl is provided. https://github.com/marketplace/actions/setup-veryl The examples of GitHub action script are below: Format and build check name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check Publish document through GitHub Pages name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Test by Verilator For this purpose, we provide GitHub action veryl-lang/setup-verilator . name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"Appendix » Appendix","id":"166","title":"Appendix"},"167":{"body":"Veryl's parser is based on parser generator parol . The following syntex definition of parol is formal syntax. %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » Formal Syntax » Formal Syntax","id":"167","title":"Formal Syntax"},"168":{"body":"","breadcrumbs":"Appendix » Semantic Error » Semantic Error","id":"168","title":"Semantic Error"},"169":{"body":"","breadcrumbs":"Appendix » Semantic Error » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"With notation representing closed intervals ..= and half-open intervals .., it is possible to uniformly describe ranges using for, inside, and outside (which denotes the inverse of inside). SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"Features » Range-based for / inside / outside","id":"17","title":"Range-based for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"The msb notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer. SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"Features » msb notation","id":"18","title":"msb notation"},"180":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » Semantic Error » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"There is a dedicated let statement available for binding values simultaneously with variable declaration, which can be used in various contexts that were not supported in SystemVerilog. SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"Features » let statement","id":"19","title":"let statement"},"190":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » Semantic Error » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.","breadcrumbs":"Introduction » Interoperability","id":"2","title":"Interoperability"},"20":{"body":"You can define named blocks to limit the scope of variables. SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"Features » Named block","id":"20","title":"Named block"},"21":{"body":"Modules without the pub keyword cannot be referenced from outside the project and are not included in automatic documentation generation. This allows distinguishing between what should be exposed externally from the project and internal implementations. SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} Some browsers by default pause the playback of GIF animations. Please check your browser settings.","breadcrumbs":"Features » Visibility control","id":"21","title":"Visibility control"},"22":{"body":"Let's start to use Veryl. In this section, we will install Veryl, create an example project, and build it.","breadcrumbs":"Getting Started » Getting Started","id":"22","title":"Getting Started"},"23":{"body":"You can install Veryl by downloading binary. If you have Rust development environment, you can use cargo instead of it.","breadcrumbs":"Getting Started » Installation » Installation","id":"23","title":"Installation"},"24":{"body":"Veryl uses git command internally. Please confirm git can be launched.","breadcrumbs":"Getting Started » Installation » Requirement","id":"24","title":"Requirement"},"25":{"body":"","breadcrumbs":"Getting Started » Installation » Choose a way of installation","id":"25","title":"Choose a way of installation"},"26":{"body":"Download from release page , and extract to the directory in PATH.","breadcrumbs":"Getting Started » Installation » Download binary","id":"26","title":"Download binary"},"27":{"body":"You can install with cargo . cargo install veryl veryl-ls","breadcrumbs":"Getting Started » Installation » Cargo","id":"27","title":"Cargo"},"28":{"body":"Visual Studio Code and Vim / Neovim are supported officially.","breadcrumbs":"Getting Started » Installation » Editor integration","id":"28","title":"Editor integration"},"29":{"body":"For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching \"Veryl\" in extension panel or the following URL. Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » Installation » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity. With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.","breadcrumbs":"Introduction » Productivity","id":"3","title":"Productivity"},"30":{"body":"For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL. Vim / Neovim plugin","breadcrumbs":"Getting Started » Installation » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.","breadcrumbs":"Getting Started » Installation » Other Editors","id":"31","title":"Other Editors"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"At first, a new Veryl project can be created by: veryl new hello After the command, the following directory and file will be created. $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml is the project configuration. [project]\nname = \"hello\"\nversion = \"0.1.0\" The description of all configuration is here .","breadcrumbs":"Getting Started » Hello, World! » Create Project","id":"33","title":"Create Project"},"34":{"body":"You can add source codes at an arbitrary position in the project directory. This is because Veryl project can be independent or integrated to other SystemVerilog project. The extension of Veryl's source codes is .veryl. For example, put the following code to src/hello.veryl. module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files Note: Some source codes in the book have play button \"▶\" which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of module ModuleA code.","breadcrumbs":"Getting Started » Hello, World! » Write Code","id":"34","title":"Write Code"},"35":{"body":"You can generate a SystemVerilog code by veryl build. $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files By default, SystemVerilog code will be generated at the same directory as Veryl code. The generated code is src/hello.sv. module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule Additionally, hello.f which is the filelist of generated codes will be generated. You can use it for SystemVerilog compiler. The following example is to use Verilator . $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » Build Code","id":"35","title":"Build Code"},"36":{"body":"Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code. This is a small example. In the following example, comments show the difference with SystemVerilog syntax. module ModuleA ( // name is first, and type is followed after `:` // bit width is represented by `<>` i_data: input logic<10>, o_data: output logic<10>, // use `{}` instead of `begin`/`end`\n) { assign o_data = i_data;\n} Additionally, the codeblocks in this chapter can be edit. Let's try to edit and play each code. A source code of Veryl has some module, interface and package like SystemVerilog. In this chapter, we'll show the some example source codes of them.","breadcrumbs":"Code Examples » Code Examples","id":"36","title":"Code Examples"},"37":{"body":"// module definition\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // trailing comma is allowed\n) ( i_clk : input clock , // `clock` is a special type for clock i_rst : input reset , // `reset` is a special type for reset i_sel : input logic , i_data: input logic [2], // `[]` means unpacked array in SystemVerilog o_data: output logic , // `<>` means packed array in SystemVerilog\n) { // local parameter declaration // `param` is not allowed in module local ParamC: u32 = 10; // variable declaration var r_data0: logic; var r_data1: logic; // value binding let _w_data2: logic = i_data; // always_ff statement with reset // `always_ff` can take a mandatory clock and a optional reset // `if_reset` means `if (i_rst)`. This conceals reset porality // `()` of `if` is not required // `=` in `always_ff` is non-blocking assignment always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // always_ff statement without reset always_ff (i_clk) { r_data1 = r_data0; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » Module » Module","id":"37","title":"Module"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // instance declaration // `inst` keyword starts instance declaration // port connnection can be specified by `()` // each port connection is `[port_name]:[variable]` // `[port_name]` means `[port_name]:[port_name]` inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // instance declaration with parameter override // notation of parameter connection is the same as port inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » Instantiation » Instantiation","id":"38","title":"Instantiation"},"39":{"body":"// interface definition\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport definition modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // port declaration by modport intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // interface instantiation inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » Interface » Interface","id":"39","title":"Interface"},"4":{"body":"In this chapter, we introduce the features of Veryl along with clear examples. Real-time diagnostics Auto formatting Integrated test Dependency management Generics Trailing comma Abstraction of clock and reset Documentation comment Compound assignment operator in always_ff Individual namespace of enum variant repeat of concatenation if / case expression Range-based for / inside / outside msb notation let statement Named block Visibility control","breadcrumbs":"Features » Features","id":"4","title":"Features"},"40":{"body":"// package definition\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » Package » Package","id":"40","title":"Package"},"41":{"body":"In this chapter, we'll discuss the lauguage definition of Veryl.","breadcrumbs":"Language Reference » Language Reference","id":"41","title":"Language Reference"},"42":{"body":"Veryl's source code is composed by some module, interface and package. module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} The name of module, interface and package in the transpiled code will added project name as prefix. In the sample code, project_ will be added. It is to avoid name conflict between projects.","breadcrumbs":"Language Reference » Source Code Structure » Source Code Structure","id":"42","title":"Source Code Structure"},"43":{"body":"This chapter shows the lexical structure of Veryl. At the first, we'll discuss about the general parts in it.","breadcrumbs":"Language Reference » Lexical Structure » Lexical Structure","id":"43","title":"Lexical Structure"},"44":{"body":"The encoding of Veryl source code should be UTF-8.","breadcrumbs":"Language Reference » Lexical Structure » Encoding","id":"44","title":"Encoding"},"45":{"body":"(white space), \\t and \\n are treated as white space. All of them are skipped by Veryl's parser.","breadcrumbs":"Language Reference » Lexical Structure » White Space","id":"45","title":"White Space"},"46":{"body":"Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code. // single line comment /*\nmulti line comment\n*/","breadcrumbs":"Language Reference » Lexical Structure » Comment","id":"46","title":"Comment"},"47":{"body":"Signle line comment starts with /// is treated as documentation comment. Documentation comment is used for document generation. /// documentation comment","breadcrumbs":"Language Reference » Lexical Structure » Documentation comment","id":"47","title":"Documentation comment"},"48":{"body":"Identifier is composed with ASCII alphabet and number and _. Leading number is not allowed. The following regular expression shows the definition. [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » Lexical Structure » Identifier","id":"48","title":"Identifier"},"49":{"body":"String is surrounded by \". Escape by \\ can be used like \\\", \\n and so on. \"Hello, World!\"","breadcrumbs":"Language Reference » Lexical Structure » String","id":"49","title":"String"},"5":{"body":"Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor. In the following example, adding the _ prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings. diagnostics If the video does not play [1]","breadcrumbs":"Features » Real-time diagnostics","id":"5","title":"Real-time diagnostics"},"50":{"body":"Almost all operators are the same as SystemVerilog. Please be careful the some differences. <: less than operator which is the same as < in SystemVerilog. >: greater than operator which is the same as > in SystemVerilog. // unary arithmetic\na = +1;\na = -1; // unary logical\na = !1;\na = ~1; // unary reduce\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // binary arithmetic\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // binary shift\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // binary compare\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // binary bitwise\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // binary logical\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » Operator » Operator","id":"50","title":"Operator"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » Number » Number","id":"51","title":"Number"},"52":{"body":"// integer\n0123456789\n01_23_45_67_89 // binary\n32'b01xzXZ\n32'b01_xz_XZ // octal\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // decimal\n32'd0123456789\n32'd01_23_45_67_89 // hex\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » Number » Integer","id":"52","title":"Integer"},"53":{"body":"// all 0\n'0 // all 1\n'1 // all x\n'x\n'X // all z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » Number » Set all bits","id":"53","title":"Set all bits"},"54":{"body":"The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code. module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Widthless integer","id":"54","title":"Widthless integer"},"55":{"body":"The bit width specification can be added to \"set all bits\". module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Set sized bits","id":"55","title":"Set sized bits"},"56":{"body":"// floating point\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // floating with exponent\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » Number » Floating point","id":"56","title":"Floating point"},"57":{"body":"'{} represents array literal. In the literal, expression, repeat keyword and default keyword can be placed. module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » Array Literal » Array Literal","id":"57","title":"Array Literal"},"58":{"body":"In this chapter, we'll discuss about data type.","breadcrumbs":"Language Reference » Data Type » Data Type","id":"58","title":"Data Type"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » Builtin Type » Builtin Type","id":"59","title":"Builtin Type"},"6":{"body":"In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible. format If the video does not play [1]","breadcrumbs":"Features » Auto formatting","id":"6","title":"Auto formatting"},"60":{"body":"logic is 4-state (0, 1, x, z) data type. The variable width can be specified by <> after logic. Multi-dimentional can be specified by . module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 4-state data type which has variable width","id":"60","title":"4-state data type which has variable width"},"61":{"body":"bit is 2-state (0, 1) data type. The variable width can be specified by <> after bit. Multi-dimentional can be specified by . module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 2-state data type which has variable width","id":"61","title":"2-state data type which has variable width"},"62":{"body":"There are some integer types: u32: 32bit unsigned integer u64: 64bit unsigned integer i32: 32bit signed integer i64: 64bit signed integer module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Integer type","id":"62","title":"Integer type"},"63":{"body":"There are some floating point types: f32: 32bit floating point f64: 64bit floating point Both of them are represented as described by IEEE Std 754. module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Floating point type","id":"63","title":"Floating point type"},"64":{"body":"string is string type. module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » String type","id":"64","title":"String type"},"65":{"body":"type is a type which represents type kind. Variable of type can be defined as param or local only. module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Type type","id":"65","title":"Type type"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » User Defined Type » User Defined Type","id":"66","title":"User Defined Type"},"67":{"body":"struct is composite data type. It can contain some fields, and these fields can be access through . operator. module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Struct","id":"67","title":"Struct"},"68":{"body":"enum is enumerable type. It has some named variant, and the value of enum can be set to the one of them. The variant name can be specified by [enum name]::[variant name]. Each variant has the corresponding integral value. The value can be specified by =. Otherwise, it is assigned automatically. module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Enum","id":"68","title":"Enum"},"69":{"body":"A Veryl union is a packed, untagged sum type and is transpiled to SystemVerilog's packed union. Each union variant should have the same packed width as each other union variant. module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Union","id":"69","title":"Union"},"7":{"body":"Test code written by SystemVerilog can be embeded in Veryl code, it can be executed through veryl test command. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"Features » Integrated test","id":"7","title":"Integrated test"},"70":{"body":"The type keyword can be used to define a type alias to scalar or array types. module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Typedef","id":"70","title":"Typedef"},"71":{"body":"Array can be defined by appending [] to any data type. The length of array can be specified by the value in []. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} Multi-dimentional array can be defined by [X, Y, Z,,,]. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » Array » Array","id":"71","title":"Array"},"72":{"body":"clock is a special types to represent clock wiring. There are 3 variants to specify clock polarity. clock: clock type of which polarity is specified by the build option clock_posedge: clock type of which polarity is positive clock_negedge: clock type of which polarity is negative reset is a special types to represent reset wiring. There are 5 variants to specify reset polarity and synchronicity. reset: reset type of which polarity and synchronicity are specified by the build option reset_async_high: async/high active reset type reset_async_low: async/low active reset type reset_sync_high: sync/active high reset type reset_sync_low: sync/active low reset type If there is no special requirement, clock and reset are recommended for code reusability. module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » Clock / Reset » Clock / Reset","id":"72","title":"Clock / Reset"},"73":{"body":"In this chapter, we'll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.","breadcrumbs":"Language Reference » Expression » Expression","id":"73","title":"Expression"},"74":{"body":"In expression, operator precedence is almost the same as SystemVerilog. Operator Associativity Precedence () [] :: . Left Highest + - ! ~ & ~& | ~| ^ ~^ ^~ (unary) Left ** Left * / % Left + - (binary) Left << >> <<< >>> Left <: <= >: >= Left == != === !== ==? !=? Left & (binary) Left ^ ~^ ^~ (binary) Left | (binary) Left && Left || Left = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= None {} None Lowest","breadcrumbs":"Language Reference » Expression » Operator Precedence » Operator Precedence","id":"74","title":"Operator Precedence"},"75":{"body":"Function can be call by function_name(argument). System function of SystemVerilog like $clog2 can be used too. module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » Function Call » Function Call","id":"75","title":"Function Call"},"76":{"body":"{} represents bit concatenation. In {}, repeat keyword can be used to repeat specified operand. module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » Concatenation » Concatenation","id":"76","title":"Concatenation"},"77":{"body":"Conditional expression using if can be used. The section which represents condition is placed after if keyword, and () is not required surrounding it. else is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » If » If","id":"77","title":"If"},"78":{"body":"Another conditional expression is case. case containts some arms like expression: expression. If the expression after case keyword matches the left expression of an arm, the right expression of the arm will be returned. default is a special arm which will be returned when all other arms are failed. default is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » Case » Case","id":"78","title":"Case"},"79":{"body":"[] is bit select operator. If an expression is specified to [], single bit is selected. Bit range selection can be specified by [expression:expression]. module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » Bit Select » Bit Select","id":"79","title":"Bit Select"},"8":{"body":"Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"Features » Dependency management","id":"8","title":"Dependency management"},"80":{"body":"Range can be specified through range operator. There are two range operator: ..: half-open interval ..=: closed interval Range can be used at some description like for statement. module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » Range » Range","id":"80","title":"Range"},"81":{"body":"msb and lsb can be used in bit selection by []. msb means most significant bit of the operand. lsb means least significant bit of the operand, it is the same as 0. module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » Msb / Lsb » Msb / Lsb","id":"81","title":"Msb / Lsb"},"82":{"body":"inside check the specified expression is inside conditions which are specified in {}. Condition can be single expression or range . If the expression matches any condition, inside will return 1, otherwise 0. outside is vice versa. module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » Inside / Outside » Inside / Outside","id":"82","title":"Inside / Outside"},"83":{"body":"In this chapter, we'll discuss about statement. Statement can be used in some declaration like always_ff, always_comb.","breadcrumbs":"Language Reference » Statement » Statement","id":"83","title":"Statement"},"84":{"body":"Assignment statement is variable = expression;. Unlike SystemVerilog, assignment operator is = in both always_comb and always_ff. There are other assignment operators: +=: addition assignment -=: subtraction assignment *=: multiplication assignment /=: division assignment %=: remainder assignment &=: bitwise AND assignment |=: bitwise OR assignment ^=: bitwise XOR assignment <<=: logical left shift assignment >>=: logical right shift assignment <<<=: arithmetic left shift assignment >>>=: arithmetic right shift assignment module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » Assignment » Assignment","id":"84","title":"Assignment"},"85":{"body":"Function call can be used as statement. In this case, the return value of function will be ignored. module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » Function Call » Function Call","id":"85","title":"Function Call"},"86":{"body":"if can be used as statement. The difference from if expression is that statements are placed in {}. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » If » If","id":"86","title":"If"},"87":{"body":"case can be used as statement. The right-hand of arm is statement. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » Case » Case","id":"87","title":"Case"},"88":{"body":"for statement represent repetition. Loop variable is placed before in keyword, and range is placed after it. break can be used to break the loop. module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » For » For","id":"88","title":"For"},"89":{"body":"return statement represents return from function. The expression after return keyword is the return value of the function. module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » Return » Return","id":"89","title":"Return"},"9":{"body":"Code generation through generics achieves more reusable code than traditional parameter override. Prarmeters in function like the follwoign example, but also module names of instantiation, type names of struct definition, and so on can be parameterized. SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"Features » Generics","id":"9","title":"Generics"},"90":{"body":"let statement represents a name bound to a value. It can be used in always_ff, always_comb and function declaration. let statement can be placed anywhere in block. module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » Let » Let","id":"90","title":"Let"},"91":{"body":"In this chapter, we'll discuss about declaration.","breadcrumbs":"Language Reference » Declaration » Declaration","id":"91","title":"Declaration"},"92":{"body":"Variable declaration is started by var keyword. After var, variable name, :, and the type of the variable are followed. If there are unused variables, warning will be occured. Variable name starting with _ means unused variable, and suppresses the warning. If you want to bind a value to a name at the declaration, let can be used instead of var. module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » Variable » Variable","id":"92","title":"Variable"},"93":{"body":"Parameter can be declarated as the same as variable. param keyword can be used at module header, it can be overridden at instantiation. local keyword can be used in module, it can't be overridden. module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » Parameter » Parameter","id":"93","title":"Parameter"},"94":{"body":"If a variable is assigned in always_ff declaration, it becomes register variable. Register variable will be mapped to flip-flop in synthesis phase. always_ff has mandatory clock variable, optional reset variable, and {} block. Clock and reset are placed in (). The specified clock and reset should have clock / reset type and the witdh of them should be 1bit. if_reset is a special keyword which can be used in always_ff. It means reset condition of the register variable. If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml. module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » Register » Register","id":"94","title":"Register"},"95":{"body":"If a variable is assigned in always_comb declaration, it means combinational circuit. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » Combinational » Combinational","id":"95","title":"Combinational"},"96":{"body":"assign declaration can assign expression to variable. module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » Assign » Assign","id":"96","title":"Assign"},"97":{"body":"Function can be declared by function keyword. Arguments are placed in () and return type is placed after ->. If function doesn't have a return value, -> can be omitted. module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » Function » Function","id":"97","title":"Function"},"98":{"body":"Statements in initial are executed at the beginning of simulation, final is the end. Both will be ignored logical synthesis, and can be used as debug or assertion. module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » Initial / Final » Initial / Final","id":"98","title":"Initial / Final"},"99":{"body":"Attribute can annotate some declarations like variable declaration.","breadcrumbs":"Language Reference » Declaration » Attribute » Attribute","id":"99","title":"Attribute"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"71":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.23606797749979},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.0},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.0},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.0},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.0}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.0},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.7320508075688772},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.449489742783178},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"85":{"tf":1.0},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":14,"docs":{"11":{"tf":2.23606797749979},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.1622776601683795},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.23606797749979}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":34,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.7320508075688772},"34":{"tf":2.8284271247461903},"35":{"tf":2.449489742783178},"36":{"tf":2.23606797749979},"42":{"tf":2.0},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":1.7320508075688772},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.0},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.449489742783178},"47":{"tf":2.23606797749979}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":4,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.4142135623730951},"4":{"tf":1.0},"76":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":26,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.0},"146":{"tf":1.4142135623730951},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.0},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":6,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"71":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":25,"docs":{"101":{"tf":1.4142135623730951},"104":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"99":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":9,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":1.7320508075688772},"141":{"tf":3.1622776601683795},"142":{"tf":2.23606797749979},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.4142135623730951},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.4142135623730951},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":3,"docs":{"119":{"tf":1.7320508075688772},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":10,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.0},"148":{"tf":2.6457513110645907},"149":{"tf":2.23606797749979},"150":{"tf":1.4142135623730951},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.0},"31":{"tf":1.4142135623730951},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.23606797749979},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.23606797749979},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"119":{"tf":1.4142135623730951},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":13,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.23606797749979},"4":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":18,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":1.7320508075688772},"74":{"tf":1.0},"77":{"tf":1.4142135623730951},"78":{"tf":2.6457513110645907},"79":{"tf":1.0},"82":{"tf":1.7320508075688772},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":6,"docs":{"123":{"tf":1.0},"161":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.4142135623730951},"6":{"tf":1.0},"8":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"129":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.0}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.23606797749979}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.4142135623730951},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":1.7320508075688772},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.449489742783178}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":1.7320508075688772},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":1.7320508075688772}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.4142135623730951},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.0}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.1622776601683795},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":1.7320508075688772},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":6,"docs":{"22":{"tf":1.0},"23":{"tf":1.4142135623730951},"25":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.4142135623730951},"54":{"tf":1.4142135623730951},"62":{"tf":2.449489742783178}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":12,"docs":{"110":{"tf":1.0},"113":{"tf":1.4142135623730951},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.0}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.449489742783178},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.0},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.4142135623730951}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":11,"docs":{"0":{"tf":1.4142135623730951},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"147":{"tf":2.0},"149":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"137":{"tf":1.0},"153":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":1.7320508075688772}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.4142135623730951}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.0},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":1.4142135623730951},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":1.7320508075688772},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.4142135623730951},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.4142135623730951},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.4142135623730951},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":5,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":5,"docs":{"101":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"54":{"tf":1.4142135623730951},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":1.7320508075688772},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.0},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.7320508075688772},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":1.7320508075688772},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.23606797749979},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.4142135623730951},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":1.7320508075688772},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.0},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.4142135623730951},"63":{"tf":2.0}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":25,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.1622776601683795},"121":{"tf":1.4142135623730951},"122":{"tf":1.0},"123":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"134":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":1.4142135623730951},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.23606797749979},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":1.7320508075688772},"144":{"tf":3.605551275463989},"145":{"tf":2.23606797749979},"146":{"tf":2.0},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.4142135623730951},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.23606797749979},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"164":{"tf":1.0},"41":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.0}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.0},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.0},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.6457513110645907},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"94":{"tf":2.8284271247461903}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.0},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":4,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.0},"55":{"tf":1.4142135623730951},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":8,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":2.6457513110645907},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.0},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":3,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":8,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":1.4142135623730951},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":1.7320508075688772},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.4142135623730951},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.4142135623730951},"64":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.4142135623730951},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":4,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.0},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.23606797749979},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":2.8284271247461903},"120":{"tf":1.4142135623730951},"138":{"tf":1.7320508075688772},"155":{"tf":2.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":1.7320508075688772}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.0}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":1.4142135623730951},"59":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951},"64":{"tf":1.4142135623730951},"65":{"tf":3.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.449489742783178},"71":{"tf":1.0},"72":{"tf":3.1622776601683795},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.449489742783178}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.0}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"66":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":2.6457513110645907},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.23606797749979},"141":{"tf":2.23606797749979},"143":{"tf":3.872983346207417},"144":{"tf":1.7320508075688772},"145":{"tf":2.23606797749979},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":1.7320508075688772},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.0}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.7320508075688772}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.7320508075688772},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.7320508075688772},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":28,"docs":{"166":{"tf":1.7320508075688772},"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":2.0},"70":{"tf":1.0},"71":{"tf":2.449489742783178}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.449489742783178},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.242640687119285},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.449489742783178},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.449489742783178},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":2.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.23606797749979}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.4142135623730951}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.4142135623730951},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":2.0},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.449489742783178},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":2.23606797749979},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":2.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":7,"docs":{"59":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.6457513110645907},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":2.0}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"85":{"tf":1.0},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":14,"docs":{"11":{"tf":2.449489742783178},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.23606797749979}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":38,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":2.0},"34":{"tf":3.0},"35":{"tf":2.6457513110645907},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.449489742783178},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":2.0}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":2.0},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.23606797749979},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.6457513110645907},"47":{"tf":2.449489742783178}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":6,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.7320508075688772},"162":{"tf":1.0},"163":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.7320508075688772},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.7320508075688772},"4":{"tf":1.0},"76":{"tf":2.0}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":40,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.7320508075688772},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.4142135623730951},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.4142135623730951},"146":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.0},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":16,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":2.0},"59":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.4142135623730951},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":29,"docs":{"100":{"tf":1.0},"101":{"tf":1.7320508075688772},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":2.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":2.0},"112":{"tf":2.0},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":2.0},"92":{"tf":1.7320508075688772},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951},"98":{"tf":1.0},"99":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":12,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":2.0},"141":{"tf":3.4641016151377544},"142":{"tf":2.6457513110645907},"143":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":2.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.7320508075688772},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.7320508075688772},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":49,"docs":{"119":{"tf":2.23606797749979},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":11,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.449489742783178},"148":{"tf":3.0},"149":{"tf":2.6457513110645907},"150":{"tf":2.0},"151":{"tf":1.0},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.23606797749979},"164":{"tf":2.449489742783178},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.449489742783178}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.4142135623730951},"3":{"tf":1.0},"31":{"tf":1.7320508075688772},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.449489742783178},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.449489742783178},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.449489742783178},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":48,"docs":{"119":{"tf":2.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":27,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":17,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"40":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":22,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.7320508075688772},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":2.23606797749979},"74":{"tf":1.4142135623730951},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.7320508075688772},"78":{"tf":2.8284271247461903},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":2.0},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":21,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"12":{"tf":1.0},"123":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":2.0},"5":{"tf":1.0},"6":{"tf":1.4142135623730951},"7":{"tf":1.0},"8":{"tf":1.4142135623730951},"9":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.4142135623730951},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"129":{"tf":1.7320508075688772},"130":{"tf":1.7320508075688772},"131":{"tf":1.7320508075688772},"132":{"tf":1.7320508075688772},"133":{"tf":1.7320508075688772},"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":2.0},"63":{"tf":2.23606797749979}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.4142135623730951},"112":{"tf":1.4142135623730951}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.449489742783178}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.7320508075688772},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.449489742783178},"85":{"tf":2.23606797749979},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.8284271247461903}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":2.23606797749979},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.3166247903554},"115":{"tf":1.7320508075688772},"116":{"tf":1.7320508075688772},"117":{"tf":1.7320508075688772},"118":{"tf":1.7320508075688772},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":2.0}}}}},"t":{"df":14,"docs":{"22":{"tf":1.7320508075688772},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.7320508075688772},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.0}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.4641016151377544},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":2.0},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":10,"docs":{"22":{"tf":1.0},"23":{"tf":2.0},"24":{"tf":1.0},"25":{"tf":1.7320508075688772},"26":{"tf":1.0},"27":{"tf":1.7320508075688772},"28":{"tf":1.0},"29":{"tf":1.4142135623730951},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.7320508075688772},"54":{"tf":1.7320508075688772},"62":{"tf":2.6457513110645907}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":14,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":2.0},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.8284271247461903},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.449489742783178},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.7320508075688772},"2":{"tf":1.7320508075688772}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}},"t":{"df":4,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"2":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":85,"docs":{"0":{"tf":1.7320508075688772},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":2.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":5,"docs":{"147":{"tf":2.449489742783178},"148":{"tf":1.0},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"151":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":15,"docs":{"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.449489742783178}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.7320508075688772},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"137":{"tf":1.0},"153":{"tf":1.7320508075688772},"154":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":2.23606797749979}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.449489742783178},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.4142135623730951}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":2.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":2.0},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.7320508075688772},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.7320508075688772},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":10,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.7320508075688772},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":5,"docs":{"101":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"54":{"tf":1.4142135623730951},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":2.0},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.449489742783178},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":2.23606797749979},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":2.0},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.6457513110645907},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":2.23606797749979},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.23606797749979},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.23606797749979}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":39,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.4641016151377544},"121":{"tf":2.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.7320508075688772},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.4142135623730951},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":2.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.449489742783178},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":2.0},"144":{"tf":3.872983346207417},"145":{"tf":2.449489742783178},"146":{"tf":2.23606797749979},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.6457513110645907},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":79,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"164":{"tf":1.0},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.449489742783178}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.23606797749979},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.23606797749979},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.4142135623730951},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.8284271247461903},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.7416573867739413},"94":{"tf":2.8284271247461903}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.8284271247461903},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.7320508075688772},"128":{"tf":1.7320508075688772},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.7320508075688772},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.7320508075688772},"155":{"tf":1.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.7320508075688772},"158":{"tf":1.7320508075688772},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.449489742783178},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":29,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.4142135623730951},"55":{"tf":1.7320508075688772},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":9,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":3.0},"156":{"tf":2.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":5,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.4142135623730951}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.23606797749979},"149":{"tf":1.4142135623730951},"150":{"tf":1.4142135623730951},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":2.0},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":2.0}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":3,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":21,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":2.23606797749979},"84":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"88":{"tf":1.4142135623730951},"89":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.23606797749979},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.7320508075688772},"64":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":2.0},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":18,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.7320508075688772},"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.23606797749979},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":2.0},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.23606797749979},"148":{"tf":1.0},"149":{"tf":2.449489742783178},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":3.1622776601683795},"120":{"tf":1.4142135623730951},"138":{"tf":2.0},"155":{"tf":2.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":2.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.4142135623730951}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":2.0},"59":{"tf":2.0},"60":{"tf":2.23606797749979},"61":{"tf":2.23606797749979},"62":{"tf":2.23606797749979},"63":{"tf":2.23606797749979},"64":{"tf":2.23606797749979},"65":{"tf":3.605551275463989},"66":{"tf":2.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"69":{"tf":1.7320508075688772},"70":{"tf":2.8284271247461903},"71":{"tf":1.4142135623730951},"72":{"tf":3.3166247903554},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.6457513110645907}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.4142135623730951}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":5,"docs":{"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":3.0},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.7320508075688772},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.449489742783178},"141":{"tf":2.23606797749979},"143":{"tf":4.0},"144":{"tf":1.7320508075688772},"145":{"tf":2.449489742783178},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":2.0},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"11":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"57":{"tf":1.0},"71":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"13":{"tf":1.0},"84":{"tf":1.0},"96":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"100":{"tf":1.0},"99":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}},"o":{"df":1,"docs":{"6":{"tf":1.0}}}}}},"b":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":1,"docs":{"17":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"26":{"tf":1.0}}}}},"df":0,"docs":{}},"t":{"df":3,"docs":{"53":{"tf":1.0},"55":{"tf":1.0},"79":{"tf":1.0}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"103":{"tf":1.0},"20":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":2,"docs":{"128":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"145":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":2,"docs":{"75":{"tf":1.0},"85":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"25":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":5,"docs":{"29":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"95":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"10":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"161":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"13":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"15":{"tf":1.0},"76":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"146":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":3,"docs":{"58":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"91":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"66":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":4,"docs":{"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":2,"docs":{"0":{"tf":1.0},"125":{"tf":1.0}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":4,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"47":{"tf":1.0}}}}}}}},"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":1,"docs":{"26":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"28":{"tf":1.0},"31":{"tf":1.0}}}}}}},"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"14":{"tf":1.0},"68":{"tf":1.0}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"168":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"16":{"tf":1.0},"73":{"tf":1.0}}}}}}}}},"f":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":13,"docs":{"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"110":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}},"t":{"df":2,"docs":{"136":{"tf":1.0},"6":{"tf":1.0}},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"115":{"tf":1.0},"75":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":7,"docs":{"101":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"9":{"tf":1.0}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"23":{"tf":1.0},"25":{"tf":1.0}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":2,"docs":{"102":{"tf":1.0},"38":{"tf":1.0}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":3,"docs":{"52":{"tf":1.0},"54":{"tf":1.0},"62":{"tf":1.0}},"r":{"df":4,"docs":{"110":{"tf":1.0},"113":{"tf":1.0},"28":{"tf":1.0},"7":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.0}}}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":4,"docs":{"0":{"tf":1.0},"110":{"tf":1.0},"160":{"tf":1.0},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"153":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"57":{"tf":1.0}}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"8":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":2,"docs":{"105":{"tf":1.0},"37":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":3,"docs":{"103":{"tf":1.0},"122":{"tf":1.0},"20":{"tf":1.0}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"51":{"tf":1.0}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"13":{"tf":1.0},"50":{"tf":1.0},"74":{"tf":1.0}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"107":{"tf":1.0},"117":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"163":{"tf":1.0},"93":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"144":{"tf":1.0},"33":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":2,"docs":{"139":{"tf":1.0},"144":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"41":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":2,"docs":{"143":{"tf":1.0},"24":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":12,"docs":{"121":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"79":{"tf":1.0}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"168":{"tf":1.0}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"160":{"tf":1.0}}}}}},"t":{"df":2,"docs":{"53":{"tf":1.0},"55":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"155":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":2,"docs":{"148":{"tf":1.0},"149":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"19":{"tf":1.0},"83":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":3,"docs":{"163":{"tf":1.0},"49":{"tf":1.0},"64":{"tf":1.0}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"118":{"tf":1.0},"67":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"42":{"tf":1.0},"43":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"x":{"df":2,"docs":{"1":{"tf":1.0},"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"132":{"tf":1.0},"149":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":4,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"156":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"5":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":9,"docs":{"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.4142135623730951},"66":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"69":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"66":{"tf":1.0}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"92":{"tf":1.0}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"109":{"tf":1.0},"21":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"25":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}}); \ No newline at end of file +Object.assign(window.search, {"doc_urls":["01_introduction.html#the-veryl-hardware-description-language","01_introduction.html#optimized-syntax","01_introduction.html#interoperability","01_introduction.html#productivity","02_features.html#features","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#getting-started","03_getting_started/01_installation.html#installation","03_getting_started/01_installation.html#requirement","03_getting_started/01_installation.html#choose-a-way-of-installation","03_getting_started/01_installation.html#download-binary","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#editor-integration","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#other-editors","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#create-project","03_getting_started/02_hello_world.html#write-code","03_getting_started/02_hello_world.html#build-code","04_code_examples.html#code-examples","04_code_examples/01_module.html#module","04_code_examples/02_instantiation.html#instantiation","04_code_examples/03_interface.html#interface","04_code_examples/04_package.html#package","05_language_reference.html#language-reference","05_language_reference/01_source_code_structure.html#source-code-structure","05_language_reference/02_lexical_structure.html#lexical-structure","05_language_reference/02_lexical_structure.html#encoding","05_language_reference/02_lexical_structure.html#white-space","05_language_reference/02_lexical_structure.html#comment","05_language_reference/02_lexical_structure.html#documentation-comment","05_language_reference/02_lexical_structure.html#identifier","05_language_reference/02_lexical_structure.html#string","05_language_reference/02_lexical_structure/01_operator.html#operator","05_language_reference/02_lexical_structure/02_number.html#number","05_language_reference/02_lexical_structure/02_number.html#integer","05_language_reference/02_lexical_structure/02_number.html#set-all-bits","05_language_reference/02_lexical_structure/02_number.html#widthless-integer","05_language_reference/02_lexical_structure/02_number.html#set-sized-bits","05_language_reference/02_lexical_structure/02_number.html#floating-point","05_language_reference/02_lexical_structure/03_array_literal.html#array-literal","05_language_reference/03_data_type.html#data-type","05_language_reference/03_data_type/01_builtin_type.html#builtin-type","05_language_reference/03_data_type/01_builtin_type.html#4-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#2-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#integer-type","05_language_reference/03_data_type/01_builtin_type.html#floating-point-type","05_language_reference/03_data_type/01_builtin_type.html#string-type","05_language_reference/03_data_type/01_builtin_type.html#type-type","05_language_reference/03_data_type/02_user_defined_type.html#user-defined-type","05_language_reference/03_data_type/02_user_defined_type.html#struct","05_language_reference/03_data_type/02_user_defined_type.html#enum","05_language_reference/03_data_type/02_user_defined_type.html#union","05_language_reference/03_data_type/02_user_defined_type.html#typedef","05_language_reference/03_data_type/03_array.html#array","05_language_reference/03_data_type/04_clock_reset.html#clock--reset","05_language_reference/04_expression.html#expression","05_language_reference/04_expression/01_operator_precedence.html#operator-precedence","05_language_reference/04_expression/02_function_call.html#function-call","05_language_reference/04_expression/03_concatenation.html#concatenation","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#bit-select","05_language_reference/04_expression/07_range.html#range","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#statement","05_language_reference/05_statement/01_assignment.html#assignment","05_language_reference/05_statement/02_function_call.html#function-call","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#declaration","05_language_reference/06_declaration/01_variable.html#variable","05_language_reference/06_declaration/02_parameter.html#parameter","05_language_reference/06_declaration/03_register.html#register","05_language_reference/06_declaration/04_combinational.html#combinational","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#function","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#attribute","05_language_reference/06_declaration/08_attribute.html#sv-attribute","05_language_reference/06_declaration/09_generate.html#generate","05_language_reference/06_declaration/10_instantiation.html#instantiation","05_language_reference/06_declaration/11_named_block.html#named-block","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#module","05_language_reference/08_interface.html#interface","05_language_reference/09_package.html#package","05_language_reference/10_systemverilog_interoperation.html#systemverilog-interoperation","05_language_reference/11_visibility.html#visibility","05_language_reference/12_foreign_language_integration.html#foreign-language-integration","05_language_reference/12_foreign_language_integration.html#embed-declaration","05_language_reference/12_foreign_language_integration.html#include-declaration","05_language_reference/13_integrated_test.html#integrated-test","05_language_reference/14_generics.html#generics","05_language_reference/14_generics.html#generic-function","05_language_reference/14_generics.html#generic-moduleinterface","05_language_reference/14_generics.html#generic-package","05_language_reference/14_generics.html#generic-struct","06_development_environment.html#development-environment","06_development_environment/01_project_configuration.html#project-configuration","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#dependencies","06_development_environment/02_dependencies.html#usage-of-dependency","06_development_environment/02_dependencies.html#version-requirement","06_development_environment/03_publish_project.html#publish-project","06_development_environment/03_publish_project.html#version-bump","06_development_environment/03_publish_project.html#configuration","06_development_environment/04_directory_layout.html#directory-layout","06_development_environment/04_directory_layout.html#single-source-directory","06_development_environment/04_directory_layout.html#single-source-and-target-directory","06_development_environment/04_directory_layout.html#multi-source-directory","06_development_environment/04_directory_layout.html#about-gitignore","06_development_environment/05_formatter.html#formatter","06_development_environment/06_linter.html#linter","06_development_environment/06_linter.html#the-lintnaming-section","06_development_environment/07_simulator.html#simulator","06_development_environment/07_simulator.html#the-test-section","06_development_environment/07_simulator.html#the-testverilator-section","06_development_environment/07_simulator.html#the-testvcs-section","06_development_environment/07_simulator.html#the-testvivado-section","06_development_environment/08_language_server.html#language-server","06_development_environment/09_compatibility.html#compatibility","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#string-parameter","06_development_environment/10_documentation.html#documentation","06_development_environment/11_github_action.html#github-action","07_appendix.html#appendix","07_appendix/01_formal_syntax.html#formal-syntax","07_appendix/02_semantic_error.html#semantic-error","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":10,"breadcrumbs":5,"title":4},"1":{"body":41,"breadcrumbs":3,"title":2},"10":{"body":38,"breadcrumbs":3,"title":2},"100":{"body":18,"breadcrumbs":6,"title":2},"101":{"body":25,"breadcrumbs":5,"title":1},"102":{"body":58,"breadcrumbs":5,"title":1},"103":{"body":17,"breadcrumbs":7,"title":2},"104":{"body":60,"breadcrumbs":7,"title":2},"105":{"body":84,"breadcrumbs":4,"title":1},"106":{"body":53,"breadcrumbs":4,"title":1},"107":{"body":24,"breadcrumbs":4,"title":1},"108":{"body":30,"breadcrumbs":6,"title":2},"109":{"body":35,"breadcrumbs":4,"title":1},"11":{"body":79,"breadcrumbs":4,"title":3},"110":{"body":0,"breadcrumbs":8,"title":3},"111":{"body":36,"breadcrumbs":7,"title":2},"112":{"body":19,"breadcrumbs":7,"title":2},"113":{"body":72,"breadcrumbs":6,"title":2},"114":{"body":82,"breadcrumbs":4,"title":1},"115":{"body":15,"breadcrumbs":5,"title":2},"116":{"body":17,"breadcrumbs":5,"title":2},"117":{"body":15,"breadcrumbs":5,"title":2},"118":{"body":33,"breadcrumbs":5,"title":2},"119":{"body":10,"breadcrumbs":4,"title":2},"12":{"body":38,"breadcrumbs":3,"title":2},"120":{"body":68,"breadcrumbs":6,"title":2},"121":{"body":8,"breadcrumbs":6,"title":2},"122":{"body":14,"breadcrumbs":6,"title":2},"123":{"body":29,"breadcrumbs":6,"title":2},"124":{"body":37,"breadcrumbs":6,"title":2},"125":{"body":7,"breadcrumbs":6,"title":2},"126":{"body":19,"breadcrumbs":6,"title":2},"127":{"body":10,"breadcrumbs":6,"title":2},"128":{"body":6,"breadcrumbs":6,"title":2},"129":{"body":18,"breadcrumbs":6,"title":2},"13":{"body":42,"breadcrumbs":5,"title":4},"130":{"body":25,"breadcrumbs":6,"title":2},"131":{"body":27,"breadcrumbs":6,"title":2},"132":{"body":36,"breadcrumbs":6,"title":2},"133":{"body":26,"breadcrumbs":6,"title":2},"134":{"body":13,"breadcrumbs":6,"title":2},"135":{"body":10,"breadcrumbs":6,"title":2},"136":{"body":9,"breadcrumbs":6,"title":2},"137":{"body":8,"breadcrumbs":6,"title":2},"138":{"body":10,"breadcrumbs":6,"title":2},"139":{"body":8,"breadcrumbs":6,"title":2},"14":{"body":33,"breadcrumbs":5,"title":4},"140":{"body":8,"breadcrumbs":6,"title":2},"141":{"body":65,"breadcrumbs":4,"title":1},"142":{"body":52,"breadcrumbs":5,"title":2},"143":{"body":85,"breadcrumbs":5,"title":2},"144":{"body":94,"breadcrumbs":6,"title":2},"145":{"body":53,"breadcrumbs":6,"title":2},"146":{"body":36,"breadcrumbs":5,"title":1},"147":{"body":20,"breadcrumbs":6,"title":2},"148":{"body":61,"breadcrumbs":7,"title":3},"149":{"body":39,"breadcrumbs":8,"title":4},"15":{"body":29,"breadcrumbs":3,"title":2},"150":{"body":40,"breadcrumbs":7,"title":3},"151":{"body":17,"breadcrumbs":5,"title":1},"152":{"body":32,"breadcrumbs":4,"title":1},"153":{"body":24,"breadcrumbs":4,"title":1},"154":{"body":430,"breadcrumbs":5,"title":2},"155":{"body":36,"breadcrumbs":4,"title":1},"156":{"body":18,"breadcrumbs":5,"title":2},"157":{"body":20,"breadcrumbs":5,"title":2},"158":{"body":20,"breadcrumbs":5,"title":2},"159":{"body":26,"breadcrumbs":5,"title":2},"16":{"body":40,"breadcrumbs":3,"title":2},"160":{"body":30,"breadcrumbs":6,"title":2},"161":{"body":13,"breadcrumbs":4,"title":1},"162":{"body":0,"breadcrumbs":4,"title":1},"163":{"body":20,"breadcrumbs":5,"title":2},"164":{"body":125,"breadcrumbs":4,"title":1},"165":{"body":106,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":2,"title":1},"167":{"body":2256,"breadcrumbs":5,"title":2},"168":{"body":0,"breadcrumbs":5,"title":2},"169":{"body":0,"breadcrumbs":4,"title":1},"17":{"body":42,"breadcrumbs":5,"title":4},"170":{"body":0,"breadcrumbs":4,"title":1},"171":{"body":0,"breadcrumbs":4,"title":1},"172":{"body":0,"breadcrumbs":4,"title":1},"173":{"body":0,"breadcrumbs":4,"title":1},"174":{"body":0,"breadcrumbs":4,"title":1},"175":{"body":0,"breadcrumbs":4,"title":1},"176":{"body":0,"breadcrumbs":4,"title":1},"177":{"body":0,"breadcrumbs":4,"title":1},"178":{"body":0,"breadcrumbs":4,"title":1},"179":{"body":0,"breadcrumbs":4,"title":1},"18":{"body":31,"breadcrumbs":3,"title":2},"180":{"body":0,"breadcrumbs":4,"title":1},"181":{"body":0,"breadcrumbs":4,"title":1},"182":{"body":0,"breadcrumbs":4,"title":1},"183":{"body":0,"breadcrumbs":4,"title":1},"184":{"body":0,"breadcrumbs":4,"title":1},"185":{"body":0,"breadcrumbs":4,"title":1},"186":{"body":0,"breadcrumbs":4,"title":1},"187":{"body":0,"breadcrumbs":4,"title":1},"188":{"body":0,"breadcrumbs":4,"title":1},"189":{"body":0,"breadcrumbs":4,"title":1},"19":{"body":35,"breadcrumbs":2,"title":1},"190":{"body":0,"breadcrumbs":4,"title":1},"191":{"body":0,"breadcrumbs":4,"title":1},"192":{"body":0,"breadcrumbs":4,"title":1},"193":{"body":0,"breadcrumbs":4,"title":1},"2":{"body":27,"breadcrumbs":2,"title":1},"20":{"body":13,"breadcrumbs":3,"title":2},"21":{"body":42,"breadcrumbs":3,"title":2},"22":{"body":11,"breadcrumbs":4,"title":2},"23":{"body":10,"breadcrumbs":4,"title":1},"24":{"body":9,"breadcrumbs":4,"title":1},"25":{"body":0,"breadcrumbs":6,"title":3},"26":{"body":6,"breadcrumbs":5,"title":2},"27":{"body":7,"breadcrumbs":4,"title":1},"28":{"body":7,"breadcrumbs":5,"title":2},"29":{"body":28,"breadcrumbs":6,"title":3},"3":{"body":46,"breadcrumbs":2,"title":1},"30":{"body":23,"breadcrumbs":5,"title":2},"31":{"body":11,"breadcrumbs":4,"title":1},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":39,"breadcrumbs":6,"title":2},"34":{"body":60,"breadcrumbs":6,"title":2},"35":{"body":64,"breadcrumbs":6,"title":2},"36":{"body":66,"breadcrumbs":4,"title":2},"37":{"body":125,"breadcrumbs":4,"title":1},"38":{"body":100,"breadcrumbs":4,"title":1},"39":{"body":63,"breadcrumbs":4,"title":1},"4":{"body":47,"breadcrumbs":2,"title":1},"40":{"body":26,"breadcrumbs":4,"title":1},"41":{"body":6,"breadcrumbs":4,"title":2},"42":{"body":34,"breadcrumbs":8,"title":3},"43":{"body":10,"breadcrumbs":6,"title":2},"44":{"body":6,"breadcrumbs":5,"title":1},"45":{"body":10,"breadcrumbs":6,"title":2},"46":{"body":17,"breadcrumbs":5,"title":1},"47":{"body":14,"breadcrumbs":6,"title":2},"48":{"body":19,"breadcrumbs":5,"title":1},"49":{"body":7,"breadcrumbs":5,"title":1},"5":{"body":29,"breadcrumbs":4,"title":3},"50":{"body":95,"breadcrumbs":6,"title":1},"51":{"body":0,"breadcrumbs":6,"title":1},"52":{"body":15,"breadcrumbs":6,"title":1},"53":{"body":10,"breadcrumbs":7,"title":2},"54":{"body":29,"breadcrumbs":7,"title":2},"55":{"body":24,"breadcrumbs":8,"title":3},"56":{"body":9,"breadcrumbs":7,"title":2},"57":{"body":35,"breadcrumbs":8,"title":2},"58":{"body":5,"breadcrumbs":6,"title":2},"59":{"body":0,"breadcrumbs":8,"title":2},"6":{"body":18,"breadcrumbs":3,"title":2},"60":{"body":31,"breadcrumbs":12,"title":6},"61":{"body":29,"breadcrumbs":12,"title":6},"62":{"body":32,"breadcrumbs":8,"title":2},"63":{"body":25,"breadcrumbs":9,"title":3},"64":{"body":7,"breadcrumbs":8,"title":2},"65":{"body":23,"breadcrumbs":8,"title":2},"66":{"body":0,"breadcrumbs":10,"title":3},"67":{"body":31,"breadcrumbs":8,"title":1},"68":{"body":37,"breadcrumbs":8,"title":1},"69":{"body":39,"breadcrumbs":8,"title":1},"7":{"body":25,"breadcrumbs":3,"title":2},"70":{"body":23,"breadcrumbs":8,"title":1},"71":{"body":112,"breadcrumbs":6,"title":1},"72":{"body":131,"breadcrumbs":8,"title":2},"73":{"body":12,"breadcrumbs":4,"title":1},"74":{"body":30,"breadcrumbs":7,"title":2},"75":{"body":27,"breadcrumbs":7,"title":2},"76":{"body":28,"breadcrumbs":5,"title":1},"77":{"body":30,"breadcrumbs":3,"title":0},"78":{"body":54,"breadcrumbs":5,"title":1},"79":{"body":29,"breadcrumbs":7,"title":2},"8":{"body":23,"breadcrumbs":3,"title":2},"80":{"body":26,"breadcrumbs":5,"title":1},"81":{"body":30,"breadcrumbs":7,"title":2},"82":{"body":46,"breadcrumbs":7,"title":2},"83":{"body":9,"breadcrumbs":4,"title":1},"84":{"body":76,"breadcrumbs":5,"title":1},"85":{"body":14,"breadcrumbs":7,"title":2},"86":{"body":22,"breadcrumbs":3,"title":0},"87":{"body":32,"breadcrumbs":5,"title":1},"88":{"body":23,"breadcrumbs":3,"title":0},"89":{"body":18,"breadcrumbs":5,"title":1},"9":{"body":80,"breadcrumbs":2,"title":1},"90":{"body":47,"breadcrumbs":3,"title":0},"91":{"body":4,"breadcrumbs":4,"title":1},"92":{"body":62,"breadcrumbs":5,"title":1},"93":{"body":27,"breadcrumbs":5,"title":1},"94":{"body":102,"breadcrumbs":5,"title":1},"95":{"body":17,"breadcrumbs":5,"title":1},"96":{"body":11,"breadcrumbs":5,"title":1},"97":{"body":37,"breadcrumbs":5,"title":1},"98":{"body":20,"breadcrumbs":7,"title":2},"99":{"body":5,"breadcrumbs":5,"title":1}},"docs":{"0":{"body":"Veryl Veryl is a hardware description language based on SystemVerilog, providing the following advantages:","breadcrumbs":"Introduction » The Veryl Hardware Description Language","id":"0","title":"The Veryl Hardware Description Language"},"1":{"body":"Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.","breadcrumbs":"Introduction » Optimized Syntax","id":"1","title":"Optimized Syntax"},"10":{"body":"Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems. SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"Features » Trailing comma","id":"10","title":"Trailing comma"},"100":{"body":"SV attribute represents SystemVerilog attribute. It will be transpiled to SystemVerilog attribute (* *). module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » Attribute » SV Attribute","id":"100","title":"SV Attribute"},"101":{"body":"Declaration can be generated by for and if. Label which is shown by : is required to idenfity the generated declarations. module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // label of else clause can be omit assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » Generate » Generate","id":"101","title":"Generate"},"102":{"body":"inst keyword represents instantiation of modula and interface. The name of instance is placed after inst keyword, and the type of instance is placed after :. Parameter override is #(), and port connection is (). module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // Parameter assignment by name paramB: 10, ) ( a , // Port connection by name bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » Instantiation » Instantiation","id":"102","title":"Instantiation"},"103":{"body":"Label can be added to {} block. The named block has an individual namespace. module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » Named Block » Named Block","id":"103","title":"Named Block"},"104":{"body":"import declaration imports symbols from other packages. It can be placed at the top level or as a module/interface/package item. Wildcard pattern like package::* can be used as an argument of import declaration. // file scope import\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export declaration exports symbols from the package to other. export * represents to export all symbols. package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic. Overridable parameters can be declared in #(). Each parameter declaration is started by param keyword. After the keyword, an identifier, :, the type of the parameter, and a default value are placed. Connection ports can be declared in (). Each port declaration is constructed by an identifier, :, port direction, and the type of the port. The available port directions are: input: input port output: output port inout: bi-directional port modport: modport of interface module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » Module » Module","id":"105","title":"Module"},"106":{"body":"Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions. Overridable parameters are the same as them of module. In interface definitions, modport can be declared. modport can be used as bundled port connection at the port declaration of module. interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » Interface » Interface","id":"106","title":"Interface"},"107":{"body":"Package is one of top level components in source code. Package can organize some declarations like parameter and function. To access an item in a package, :: symbol can be used like PackageA::ParamA. package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » Package » Package","id":"107","title":"Package"},"108":{"body":"If you want to access to items of SystemVerilog, $sv namespace can be used. For example, \"ModuleA\" in SystemVerilog source code can be accessed by $sv::ModuleA. Veryl don't check the existence of the items. module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilog Interoperation » SystemVerilog Interoperation","id":"108","title":"SystemVerilog Interoperation"},"109":{"body":"By default, all top level items of a project (module, interface and package) are private. The \"private\" means they are not visible from other project. pub keyword can be used to specify an item as public to other project. veryl doc will generate documents of public items only. pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » Visibility » Visibility","id":"109","title":"Visibility"},"11":{"body":"There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code. Additionally, explicit clock and reset type enables to check whether clock and reset are correctly connected to registers. If there is a single clock and reset in the module, the connection can be omitted. SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff { if_reset { } else { } }\n}","breadcrumbs":"Features » Abstraction of clock and reset","id":"11","title":"Abstraction of clock and reset"},"110":{"body":"","breadcrumbs":"Language Reference » Foreign Language Integration » Foreign Language Integration","id":"110","title":"Foreign Language Integration"},"111":{"body":"embed declaration can embed the code of foreign languages. The first argument of embed declaration shows the way of embedding. The following ways are supported: inline: expand the code as is The code block are started by lang{{{ and ended by }}}. The following lang specifiers are supported: sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » Foreign Language Integration » embed declaration","id":"111","title":"embed declaration"},"112":{"body":"include declaration can include a file of foreign languages. The first argument is the same as embed declaration, and the second is a relative file path from the source code. include(inline, \"module.sv\");","breadcrumbs":"Language Reference » Foreign Language Integration » include declaration","id":"112","title":"include declaration"},"113":{"body":"Integrated test can be marked by #[test(test_name)] attribute. The marked block will be identified as test, and executed through veryl test command. The top level module of the block must have the same name as the test name. The messages through $info, $warning, $error and $fatal system function are handled by Veryl compiler, and shown as exectution log. The calls of $error and $fatal are treated as test failure. The following example, a SystemVerilog source code embeded by embed declaration are marked as test. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} About RTL simulator used by veryl test, see Simulator .","breadcrumbs":"Language Reference » Integrated Test » Integrated Test","id":"113","title":"Integrated Test"},"114":{"body":"Generics can define parameterized items which can't achieved by parameter override. The following items support generics: function module interface package struct union Each generic definition has generic parameters (often an uppercase letter is used like T) which can be placed as identifier or expression in the definition. Generic parameters are declarated after item's identifier with ::<>. At the usage of generics, actual parameters can be given through ::<>. As the actual parameters, numeric literal and identifier concatenated by :: can be used. Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can't be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.","breadcrumbs":"Language Reference » Generics » Generics","id":"114","title":"Generics"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » Generics » Generic Function","id":"115","title":"Generic Function"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » Generics » Generic Module/Interface","id":"116","title":"Generic Module/Interface"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » Generics » Generic Package","id":"117","title":"Generic Package"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // local defined type can be used // because `TypeA` is accessible at the definition of `StructA` var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » Generics » Generic Struct","id":"118","title":"Generic Struct"},"119":{"body":"In this chapter, we'll discuss about development environment including project configuration and development tools.","breadcrumbs":"Development Environment » Development Environment","id":"119","title":"Development Environment"},"12":{"body":"Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also MarkDown format or waveform descriptions using WaveDrom . SystemVerilog\nVeryl // Comment\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"Features » Documentation comment","id":"12","title":"Documentation comment"},"120":{"body":"[project] --- Defines a project. name --- The name of the project. version --- The version of the project. authors --- The authors of the project. description --- A description of the project. license --- The project license. repository --- URL of the project source repository. [build] --- Build settings. clock_type --- The type of clock. reset_type --- The type of reset. filelist_type --- The type of filelist. target --- The way of output. implicit_parameter_types --- Whether implicit parameter type is enabled. omit_project_prefix --- Whether omit project prefix. strip_comments --- Whether strip comments. [format] --- Format settings. [lint] --- Lint settings. [test] --- Test settings. [publish] --- Publish settings. [dependencies] --- Library dependencies.","breadcrumbs":"Development Environment » Project Configuration » Project Configuration","id":"120","title":"Project Configuration"},"121":{"body":"The first section of Veryl.toml is [project]. The mandatory fields are name and version.","breadcrumbs":"Development Environment » Project Configuration » The [project] section","id":"121","title":"The [project] section"},"122":{"body":"The project name is used as prefix in the generated codes. So the name must start with alphabet or _, and use only alphanumeric charaters or _.","breadcrumbs":"Development Environment » Project Configuration » The name field","id":"122","title":"The name field"},"123":{"body":"The project version should follow Semantic Versioning . The version is constructed by the following three numbers. Major -- increment at incompatible changes Minor -- increment at adding features with backward compatibility Patch -- increment at bug fixes with backward compatibility [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » Project Configuration » The version field","id":"123","title":"The version field"},"124":{"body":"The optional authors field lists in an array the people or organizations that are considered the \"authors\" of the project. The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used. [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » Project Configuration » The authors field","id":"124","title":"The authors field"},"125":{"body":"The description is a short blurb about the project. This should be plane text (not Markdown).","breadcrumbs":"Development Environment » Project Configuration » The description field","id":"125","title":"The description field"},"126":{"body":"The license field contains the name of license that the project is released under. The string should be follow SPDX 2.3 license expression . [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » Project Configuration » The license field","id":"126","title":"The license field"},"127":{"body":"The repository field should be a URL to the source repository for the project. [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » Project Configuration » The repository field","id":"127","title":"The repository field"},"128":{"body":"The [build] section contains the configurations of code generation.","breadcrumbs":"Development Environment » Project Configuration » The [build] section","id":"128","title":"The [build] section"},"129":{"body":"The clock_type field specifies which clock edge is used to drive flip-flop. The available types are below: posedge -- positive edge negedge -- negetive edge","breadcrumbs":"Development Environment » Project Configuration » The clock_type field","id":"129","title":"The clock_type field"},"13":{"body":"There is no dedicated non-blocking assignment operator; within always_ff, non-blocking assignments are inferred, while within always_comb, blocking assignments are inferred. Therefore, various compound assignment operators can be used within always_ff just like within always_comb. SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"Features » Compound assignment operator in always_ff","id":"13","title":"Compound assignment operator in always_ff"},"130":{"body":"The reset_type field specifies reset polarity and synchronisity. The available types are below: async_low -- asynchronous and active low async_high -- asynchronous and active high sync_low -- synchronous and active low sync_high -- synchronous and active high","breadcrumbs":"Development Environment » Project Configuration » The reset_type field","id":"130","title":"The reset_type field"},"131":{"body":"The filelist_type field specifies filelist format. The available types are below: absolute -- plane text filelist including absolute file paths relative -- plane text filelist including relative file paths flgen -- flgen filelist","breadcrumbs":"Development Environment » Project Configuration » The filelist_type field","id":"131","title":"The filelist_type field"},"132":{"body":"The target field specifies where the generated codes will be placed at. The available types are below: source -- as the same directory as the source code directory -- specified directory bundle -- specified file If you want to use directory or bundle, you should specify the target path by path key. [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » Project Configuration » The target field","id":"132","title":"The target field"},"133":{"body":"The implicit_parameter_types field lists the types which will be elided in parameter declaration of the generated codes. This is because some EDA tools don't support parameter declaration with specific types (ex.string). If you want to elide string, you can specify like below: [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » Project Configuration » The implicit_parameter_types field","id":"133","title":"The implicit_parameter_types field"},"134":{"body":"If omit_project_prefix is set to true, the project prefix of module/interface/package name will be omitted. This is false by default. [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » Project Configuration » The omit_project_prefix field","id":"134","title":"The omit_project_prefix field"},"135":{"body":"If strip_comments is set to true, all comments will be stripped. This is false by default. [build]\nstrip_comments = true","breadcrumbs":"Development Environment » Project Configuration » The strip_comments field","id":"135","title":"The strip_comments field"},"136":{"body":"The [format] section contains the configurations of code formatter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [format] section","id":"136","title":"The [format] section"},"137":{"body":"The [lint] section contains the configurations of linter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [lint] section","id":"137","title":"The [lint] section"},"138":{"body":"The [test] section contains the configurations of test by RTL simulator. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [test] section","id":"138","title":"The [test] section"},"139":{"body":"The [publish] section contains the configurations of publishing. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [publish] section","id":"139","title":"The [publish] section"},"14":{"body":"Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions. SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"Features » Individual namespace of enum variant","id":"14","title":"Individual namespace of enum variant"},"140":{"body":"The [dependencies] section contains library dependencies. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [dependencies] section","id":"140","title":"The [dependencies] section"},"141":{"body":"If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies] section in Veryl.toml. The left hand side of entry is path to the dependency, and the right hand side is version. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" By default, the namespace of the dependency is the same as the project name of the dependency. If you want to specify namespace, you can use name field. [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} If you want to use many versions of the same dependency path, you can specify each name. [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » Dependencies » Dependencies","id":"141","title":"Dependencies"},"142":{"body":"After adding dependencies to Veryl.toml, you can use moudle, interface and package in the dependencies. The following example uses delay module in the veryl_sample dependency. module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} Note: The result of play button in the above code is not exact because it doesn't use dependency resolution. Actually the module name becomes veryl_samlle_delay","breadcrumbs":"Development Environment » Dependencies » Usage of dependency","id":"142","title":"Usage of dependency"},"143":{"body":"The version field of [dependencies] section shows version requirement. For example, version = \"0.1.0\" means the latest version which has compatibility with 0.1.0. The compatibility is judged by Semantic Versioning . A version is constructed from the following three parts. MAJOR version when you make incompatible API changes MINOR version when you add functionality in a backwards compatible manner PATCH version when you make backwards compatible bug fixes If MAJOR version is 0, MINOR is interpreted as incompatible changes. If there are 0.1.0 and 0.1.1 and 0.2.0, 0.1.1 will be selected. This is because 0.1.0 is compatible with 0.1.0. 0.1.1 is compatible with 0.1.0. 0.2.0 is not compatible with 0.1.0. 0.1.1 is the latest in the compatible versions. The version field allows other version requirement reprensentation like =0.1.0. Please see version requirement of Rust for detailed information: Specifying Dependencies .","breadcrumbs":"Development Environment » Dependencies » Version Requirement","id":"143","title":"Version Requirement"},"144":{"body":"To publish your project, veryl publish can be used. Publising means to associate a version with a git revision. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish generates Veryl.pub which contains published version information like below. [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" After generating Veryl.pub, publishing sequence is completed by git add, commit and push. The git branch to be committed must be the default branch because Veryl search Veryl.pub in the default branch. $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push If you enable automatic commit by publish_commit in [publish] section of Veryl.toml, git add and commit will be executed after publish. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Publish Project","id":"144","title":"Publish Project"},"145":{"body":"You can bump version with publish at the same time by --bump option. As the same as publish, bump_commit in [publish] section of Veryl.toml can specify automatic commit after bump version. $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Version Bump","id":"145","title":"Version Bump"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" Configuration Value Default Description bump_commit boolean false automatic commit after bump publish_commit boolean false automatic commit after publish bump_commit_mesasge string \"chore: Bump version\" commit message after bump publish_commit_mesasge string \"chore: Publish\" commit message after publish","breadcrumbs":"Development Environment » Publish Project » Configuration","id":"146","title":"Configuration"},"147":{"body":"Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different. In this section, we suggest some directory layout patterns.","breadcrumbs":"Development Environment » Directory Layout » Directory Layout","id":"147","title":"Directory Layout"},"148":{"body":"This pattern contains all sources in src directory. In src, you can configure arbitrary sub directories. $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl gathers all *.veryl files and generates codes at the same directory as the source by default. You can show the behaviour explicitly by the following configuration. [build]\ntarget = \"source\" After veryl build, the directory structure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source directory","id":"148","title":"Single source directory"},"149":{"body":"If you want to place the generated codes into a directory, you can use target configure in [build] section of Veryl.toml. [build]\ntarget = {type = \"directory\", path = \"target\"} The directory layout of this configure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source and target directory","id":"149","title":"Single source and target directory"},"15":{"body":"By adopting the explicit repeat syntax as a repetition description in bit concatenation, readability improves over complex combinations of {}. SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"Features » repeat of concatenation","id":"15","title":"repeat of concatenation"},"150":{"body":"If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure. $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files The generated prj.f lists all generated files. So you can use it along with the existing SystemVerilog filelists.","breadcrumbs":"Development Environment » Directory Layout » Multi source directory","id":"150","title":"Multi source directory"},"151":{"body":"Veryl doesn't provide the default .gitignore. This is because which files should be ignored is different by each projects. The candidates of .gitignore is below: dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » Directory Layout » About .gitignore","id":"151","title":"About .gitignore"},"152":{"body":"Source code can be formatted by veryl fmt command. Alternatively, language server support formatting through textDocument/formatting request. The available configurations are below. These can be specified in [format] section of Veryl.toml. [format]\nindent_width = 4 Configuration Value Description indent_width integer indent width by space","breadcrumbs":"Development Environment » Formatter » Formatter","id":"152","title":"Formatter"},"153":{"body":"Lint check is executed at veryl check or veryl build. Alternatively, language server checks lint in real time. The available configurations are below. These can be specified in [lint] section of Veryl.toml. [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » Linter » Linter","id":"153","title":"Linter"},"154":{"body":"This section contains configurations of naming conventions. Configuration Value Description case_enum case type [1] case style of enum case_function case type [1] case style of function case_instance case type [1] case style of instance case_interface case type [1] case style of interface case_modport case type [1] case style of modport case_module case type [1] case style of module case_package case type [1] case style of package case_parameter case type [1] case style of parameter case_port_inout case type [1] case style of inout port case_port_input case type [1] case style of input port case_port_modport case type [1] case style of modport port case_port_output case type [1] case style of output port case_reg case type [1] case style of register type variable [2] case_struct case type [1] case style of struct case_wire case type [1] case style of wire type variable [3] prefix_enum string prefix of enum prefix_function string prefix of function prefix_instance string prefix of instance prefix_interface string prefix of interface prefix_modport string prefix of modport prefix_module string prefix of module prefix_package string prefix of package prefix_parameter string prefix of parameter prefix_port_inout string prefix of inout port prefix_port_input string prefix of input port prefix_port_modport string prefix of modport port prefix_port_output string prefix of output port prefix_reg string prefix of register type variable [2] prefix_struct string prefix of struct prefix_wire string prefix of wire type variable [3] re_forbidden_enum regex [4] regex forbidden of enum re_forbidden_function regex [4] regex forbidden of function re_forbidden_instance regex [4] regex forbidden of instance re_forbidden_interface regex [4] regex forbidden of interface re_forbidden_modport regex [4] regex forbidden of modport re_forbidden_module regex [4] regex forbidden of module re_forbidden_package regex [4] regex forbidden of package re_forbidden_parameter regex [4] regex forbidden of parameter re_forbidden_port_inout regex [4] regex forbidden of inout port re_forbidden_port_input regex [4] regex forbidden of input port re_forbidden_port_modport regex [4] regex forbidden of modport port re_forbidden_port_output regex [4] regex forbidden of output port re_forbidden_reg regex [4] regex forbidden of register type variable [2] re_forbidden_struct regex [4] regex forbidden of struct re_forbidden_wire regex [4] regex forbidden of wire type variable [3] re_required_enum regex [4] regex required of enum re_required_function regex [4] regex required of function re_required_instance regex [4] regex required of instance re_required_interface regex [4] regex required of interface re_required_modport regex [4] regex required of modport re_required_module regex [4] regex required of module re_required_package regex [4] regex required of package re_required_parameter regex [4] regex required of parameter re_required_port_inout regex [4] regex required of inout port re_required_port_input regex [4] regex required of input port re_required_port_modport regex [4] regex required of modport port re_required_port_output regex [4] regex required of output port re_required_reg regex [4] regex required of register type variable [2] re_required_struct regex [4] regex required of struct re_required_wire regex [4] regex required of wire type variable [3] The available values are \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase Regular expression string like \".*\". The available syntax is here . Register type means that the variable is assigned in always_ff. It will be mapped to flip-flop in synthesis phase. Wire type means that the variable is assigned in always_comb. It will be mapped to wire in synthesis phase.","breadcrumbs":"Development Environment » Linter » The [lint.naming] section","id":"154","title":"The [lint.naming] section"},"155":{"body":"Test by RTL simulator is executed through veryl test. Supported simulators are below: Verilator Synopsys VCS AMD Vivado Simulator Verilator is the default simulator. If no simulator is specified through Veryl.toml and commandline option, it will be used. The available configurations are below. These can be specified in [test] section of Veryl.toml. [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » Simulator » Simulator","id":"155","title":"Simulator"},"156":{"body":"This section contains configurations of test. Configuration Value Description simulator simulator name [1] default simulator The available values are \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » Simulator » The [test] section","id":"156","title":"The [test] section"},"157":{"body":"This section contains configurations of test by Verilator. Configuration Value Description compile_args [string] additional arguments to verilator command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.verilator] section","id":"157","title":"The [test.verilator] section"},"158":{"body":"This section contains configurations of test by VCS. Configuration Value Description compile_args [string] additional arguments to vcs command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.vcs] section","id":"158","title":"The [test.vcs] section"},"159":{"body":"This section contains configurations of test by Vivado. Configuration Value Description compile_args [string] additional arguments to xvlog command elaborate_args [string] additional arguments to xelab command simulate_args [string] additional arguments to xsim command","breadcrumbs":"Development Environment » Simulator » The [test.vivado] section","id":"159","title":"The [test.vivado] section"},"16":{"body":"By adopting if and case expressions instead of the ternary operator, readability improves, especially when comparing a large number of items. SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"Features » if / case expression","id":"16","title":"if / case expression"},"160":{"body":"veryl-ls is a language server binary. If you want to use it, editor configuration or plugin to use it is required. The available configurations are below. These can be specified by each editor's config. Configuration Value Default Description useOperatorCompletion boolean false use operator (e.g. '>:', '>>') completion","breadcrumbs":"Development Environment » Language Server » Language Server","id":"160","title":"Language Server"},"161":{"body":"Some tools supporting SystemVerilog don't support some features. Code generation can be customized by configuration of Veryl.toml to support these tools.","breadcrumbs":"Development Environment » Compatibility » Compatibility","id":"161","title":"Compatibility"},"162":{"body":"","breadcrumbs":"Development Environment » Compatibility » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivado don't support parameter which is typed as string. parameter string a = \"A\"; So you can use implicit_parameter_types like below: [build]\nimplicit_parameter_types = [\"string\"] By the configuration, the generated code becomes like below: parameter a = \"A\";","breadcrumbs":"Development Environment » Compatibility » String parameter","id":"163","title":"String parameter"},"164":{"body":"Documant of project can be generated by veryl doc command. All public modules, interfaces and packages will be listed in it. (See Visibility ) If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used. Waveform description based on WaveDrom is supported too. In a wavedrom code block, the syntax of WaveDrom can be written. Please refer Tutorial for the detailed syntax. /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} The available configurations are below. These can be specified in [doc] section of Veryl.toml. [doc]\npath = \"document\" Configuration Value Default Description path string \"doc\" path to output directory","breadcrumbs":"Development Environment » Documentation » Documentation","id":"164","title":"Documentation"},"165":{"body":"The official GitHub action to download a prebuilt binary of Veryl is provided. https://github.com/marketplace/actions/setup-veryl The examples of GitHub action script are below: Format and build check name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check Publish document through GitHub Pages name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Test by Verilator For this purpose, we provide GitHub action veryl-lang/setup-verilator . name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"Appendix » Appendix","id":"166","title":"Appendix"},"167":{"body":"Veryl's parser is based on parser generator parol . The following syntex definition of parol is formal syntax. %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » Formal Syntax » Formal Syntax","id":"167","title":"Formal Syntax"},"168":{"body":"","breadcrumbs":"Appendix » Semantic Error » Semantic Error","id":"168","title":"Semantic Error"},"169":{"body":"","breadcrumbs":"Appendix » Semantic Error » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"With notation representing closed intervals ..= and half-open intervals .., it is possible to uniformly describe ranges using for, inside, and outside (which denotes the inverse of inside). SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"Features » Range-based for / inside / outside","id":"17","title":"Range-based for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"The msb notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer. SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"Features » msb notation","id":"18","title":"msb notation"},"180":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » Semantic Error » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"There is a dedicated let statement available for binding values simultaneously with variable declaration, which can be used in various contexts that were not supported in SystemVerilog. SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"Features » let statement","id":"19","title":"let statement"},"190":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » Semantic Error » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.","breadcrumbs":"Introduction » Interoperability","id":"2","title":"Interoperability"},"20":{"body":"You can define named blocks to limit the scope of variables. SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"Features » Named block","id":"20","title":"Named block"},"21":{"body":"Modules without the pub keyword cannot be referenced from outside the project and are not included in automatic documentation generation. This allows distinguishing between what should be exposed externally from the project and internal implementations. SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} Some browsers by default pause the playback of GIF animations. Please check your browser settings.","breadcrumbs":"Features » Visibility control","id":"21","title":"Visibility control"},"22":{"body":"Let's start to use Veryl. In this section, we will install Veryl, create an example project, and build it.","breadcrumbs":"Getting Started » Getting Started","id":"22","title":"Getting Started"},"23":{"body":"You can install Veryl by downloading binary. If you have Rust development environment, you can use cargo instead of it.","breadcrumbs":"Getting Started » Installation » Installation","id":"23","title":"Installation"},"24":{"body":"Veryl uses git command internally. Please confirm git can be launched.","breadcrumbs":"Getting Started » Installation » Requirement","id":"24","title":"Requirement"},"25":{"body":"","breadcrumbs":"Getting Started » Installation » Choose a way of installation","id":"25","title":"Choose a way of installation"},"26":{"body":"Download from release page , and extract to the directory in PATH.","breadcrumbs":"Getting Started » Installation » Download binary","id":"26","title":"Download binary"},"27":{"body":"You can install with cargo . cargo install veryl veryl-ls","breadcrumbs":"Getting Started » Installation » Cargo","id":"27","title":"Cargo"},"28":{"body":"Visual Studio Code and Vim / Neovim are supported officially.","breadcrumbs":"Getting Started » Installation » Editor integration","id":"28","title":"Editor integration"},"29":{"body":"For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching \"Veryl\" in extension panel or the following URL. Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » Installation » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity. With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.","breadcrumbs":"Introduction » Productivity","id":"3","title":"Productivity"},"30":{"body":"For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL. Vim / Neovim plugin","breadcrumbs":"Getting Started » Installation » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.","breadcrumbs":"Getting Started » Installation » Other Editors","id":"31","title":"Other Editors"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"At first, a new Veryl project can be created by: veryl new hello After the command, the following directory and file will be created. $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml is the project configuration. [project]\nname = \"hello\"\nversion = \"0.1.0\" The description of all configuration is here .","breadcrumbs":"Getting Started » Hello, World! » Create Project","id":"33","title":"Create Project"},"34":{"body":"You can add source codes at an arbitrary position in the project directory. This is because Veryl project can be independent or integrated to other SystemVerilog project. The extension of Veryl's source codes is .veryl. For example, put the following code to src/hello.veryl. module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files Note: Some source codes in the book have play button \"▶\" which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of module ModuleA code.","breadcrumbs":"Getting Started » Hello, World! » Write Code","id":"34","title":"Write Code"},"35":{"body":"You can generate a SystemVerilog code by veryl build. $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files By default, SystemVerilog code will be generated at the same directory as Veryl code. The generated code is src/hello.sv. module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule Additionally, hello.f which is the filelist of generated codes will be generated. You can use it for SystemVerilog compiler. The following example is to use Verilator . $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » Build Code","id":"35","title":"Build Code"},"36":{"body":"Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code. This is a small example. In the following example, comments show the difference with SystemVerilog syntax. module ModuleA ( // name is first, and type is followed after `:` // bit width is represented by `<>` i_data: input logic<10>, o_data: output logic<10>, // use `{}` instead of `begin`/`end`\n) { assign o_data = i_data;\n} Additionally, the codeblocks in this chapter can be edit. Let's try to edit and play each code. A source code of Veryl has some module, interface and package like SystemVerilog. In this chapter, we'll show the some example source codes of them.","breadcrumbs":"Code Examples » Code Examples","id":"36","title":"Code Examples"},"37":{"body":"// module definition\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // trailing comma is allowed\n) ( i_clk : input clock , // `clock` is a special type for clock i_rst : input reset , // `reset` is a special type for reset i_sel : input logic , i_data: input logic [2], // `[]` means unpacked array in SystemVerilog o_data: output logic , // `<>` means packed array in SystemVerilog\n) { // local parameter declaration // `param` is not allowed in module local ParamC: u32 = 10; // variable declaration var r_data0: logic; var r_data1: logic; var r_data2: logic; // value binding let _w_data2: logic = i_data; // always_ff statement with reset // `always_ff` can take a mandatory clock and a optional reset // `if_reset` means `if (i_rst)`. This conceals reset porality // `()` of `if` is not required // `=` in `always_ff` is non-blocking assignment always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // always_ff statement without reset always_ff (i_clk) { r_data1 = r_data0; } // clock and reset can be omitted // if there is a single clock and reset in the module always_ff { r_data2 = r_data1; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » Module » Module","id":"37","title":"Module"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // instance declaration // `inst` keyword starts instance declaration // port connnection can be specified by `()` // each port connection is `[port_name]:[variable]` // `[port_name]` means `[port_name]:[port_name]` inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // instance declaration with parameter override // notation of parameter connection is the same as port inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » Instantiation » Instantiation","id":"38","title":"Instantiation"},"39":{"body":"// interface definition\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport definition modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // port declaration by modport intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // interface instantiation inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » Interface » Interface","id":"39","title":"Interface"},"4":{"body":"In this chapter, we introduce the features of Veryl along with clear examples. Real-time diagnostics Auto formatting Integrated test Dependency management Generics Trailing comma Abstraction of clock and reset Documentation comment Compound assignment operator in always_ff Individual namespace of enum variant repeat of concatenation if / case expression Range-based for / inside / outside msb notation let statement Named block Visibility control","breadcrumbs":"Features » Features","id":"4","title":"Features"},"40":{"body":"// package definition\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » Package » Package","id":"40","title":"Package"},"41":{"body":"In this chapter, we'll discuss the lauguage definition of Veryl.","breadcrumbs":"Language Reference » Language Reference","id":"41","title":"Language Reference"},"42":{"body":"Veryl's source code is composed by some module, interface and package. module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} The name of module, interface and package in the transpiled code will added project name as prefix. In the sample code, project_ will be added. It is to avoid name conflict between projects.","breadcrumbs":"Language Reference » Source Code Structure » Source Code Structure","id":"42","title":"Source Code Structure"},"43":{"body":"This chapter shows the lexical structure of Veryl. At the first, we'll discuss about the general parts in it.","breadcrumbs":"Language Reference » Lexical Structure » Lexical Structure","id":"43","title":"Lexical Structure"},"44":{"body":"The encoding of Veryl source code should be UTF-8.","breadcrumbs":"Language Reference » Lexical Structure » Encoding","id":"44","title":"Encoding"},"45":{"body":"(white space), \\t and \\n are treated as white space. All of them are skipped by Veryl's parser.","breadcrumbs":"Language Reference » Lexical Structure » White Space","id":"45","title":"White Space"},"46":{"body":"Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code. // single line comment /*\nmulti line comment\n*/","breadcrumbs":"Language Reference » Lexical Structure » Comment","id":"46","title":"Comment"},"47":{"body":"Signle line comment starts with /// is treated as documentation comment. Documentation comment is used for document generation. /// documentation comment","breadcrumbs":"Language Reference » Lexical Structure » Documentation comment","id":"47","title":"Documentation comment"},"48":{"body":"Identifier is composed with ASCII alphabet and number and _. Leading number is not allowed. The following regular expression shows the definition. [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » Lexical Structure » Identifier","id":"48","title":"Identifier"},"49":{"body":"String is surrounded by \". Escape by \\ can be used like \\\", \\n and so on. \"Hello, World!\"","breadcrumbs":"Language Reference » Lexical Structure » String","id":"49","title":"String"},"5":{"body":"Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor. In the following example, adding the _ prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings. diagnostics If the video does not play [1]","breadcrumbs":"Features » Real-time diagnostics","id":"5","title":"Real-time diagnostics"},"50":{"body":"Almost all operators are the same as SystemVerilog. Please be careful the some differences. <: less than operator which is the same as < in SystemVerilog. >: greater than operator which is the same as > in SystemVerilog. // unary arithmetic\na = +1;\na = -1; // unary logical\na = !1;\na = ~1; // unary reduce\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // binary arithmetic\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // binary shift\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // binary compare\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // binary bitwise\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // binary logical\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » Operator » Operator","id":"50","title":"Operator"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » Number » Number","id":"51","title":"Number"},"52":{"body":"// integer\n0123456789\n01_23_45_67_89 // binary\n32'b01xzXZ\n32'b01_xz_XZ // octal\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // decimal\n32'd0123456789\n32'd01_23_45_67_89 // hex\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » Number » Integer","id":"52","title":"Integer"},"53":{"body":"// all 0\n'0 // all 1\n'1 // all x\n'x\n'X // all z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » Number » Set all bits","id":"53","title":"Set all bits"},"54":{"body":"The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code. module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Widthless integer","id":"54","title":"Widthless integer"},"55":{"body":"The bit width specification can be added to \"set all bits\". module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Set sized bits","id":"55","title":"Set sized bits"},"56":{"body":"// floating point\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // floating with exponent\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » Number » Floating point","id":"56","title":"Floating point"},"57":{"body":"'{} represents array literal. In the literal, expression, repeat keyword and default keyword can be placed. module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » Array Literal » Array Literal","id":"57","title":"Array Literal"},"58":{"body":"In this chapter, we'll discuss about data type.","breadcrumbs":"Language Reference » Data Type » Data Type","id":"58","title":"Data Type"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » Builtin Type » Builtin Type","id":"59","title":"Builtin Type"},"6":{"body":"In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible. format If the video does not play [1]","breadcrumbs":"Features » Auto formatting","id":"6","title":"Auto formatting"},"60":{"body":"logic is 4-state (0, 1, x, z) data type. The variable width can be specified by <> after logic. Multi-dimentional can be specified by . module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 4-state data type which has variable width","id":"60","title":"4-state data type which has variable width"},"61":{"body":"bit is 2-state (0, 1) data type. The variable width can be specified by <> after bit. Multi-dimentional can be specified by . module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 2-state data type which has variable width","id":"61","title":"2-state data type which has variable width"},"62":{"body":"There are some integer types: u32: 32bit unsigned integer u64: 64bit unsigned integer i32: 32bit signed integer i64: 64bit signed integer module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Integer type","id":"62","title":"Integer type"},"63":{"body":"There are some floating point types: f32: 32bit floating point f64: 64bit floating point Both of them are represented as described by IEEE Std 754. module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Floating point type","id":"63","title":"Floating point type"},"64":{"body":"string is string type. module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » String type","id":"64","title":"String type"},"65":{"body":"type is a type which represents type kind. Variable of type can be defined as param or local only. module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Type type","id":"65","title":"Type type"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » User Defined Type » User Defined Type","id":"66","title":"User Defined Type"},"67":{"body":"struct is composite data type. It can contain some fields, and these fields can be access through . operator. module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Struct","id":"67","title":"Struct"},"68":{"body":"enum is enumerable type. It has some named variant, and the value of enum can be set to the one of them. The variant name can be specified by [enum name]::[variant name]. Each variant has the corresponding integral value. The value can be specified by =. Otherwise, it is assigned automatically. module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Enum","id":"68","title":"Enum"},"69":{"body":"A Veryl union is a packed, untagged sum type and is transpiled to SystemVerilog's packed union. Each union variant should have the same packed width as each other union variant. module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Union","id":"69","title":"Union"},"7":{"body":"Test code written by SystemVerilog can be embeded in Veryl code, it can be executed through veryl test command. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"Features » Integrated test","id":"7","title":"Integrated test"},"70":{"body":"The type keyword can be used to define a type alias to scalar or array types. module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Typedef","id":"70","title":"Typedef"},"71":{"body":"Array can be defined by appending [] to any data type. The length of array can be specified by the value in []. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} Multi-dimentional array can be defined by [X, Y, Z,,,]. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » Array » Array","id":"71","title":"Array"},"72":{"body":"clock is a special types to represent clock wiring. There are 3 variants to specify clock polarity. clock: clock type of which polarity is specified by the build option clock_posedge: clock type of which polarity is positive clock_negedge: clock type of which polarity is negative reset is a special types to represent reset wiring. There are 5 variants to specify reset polarity and synchronicity. reset: reset type of which polarity and synchronicity are specified by the build option reset_async_high: async/high active reset type reset_async_low: async/low active reset type reset_sync_high: sync/active high reset type reset_sync_low: sync/active low reset type If there is no special requirement, clock and reset are recommended for code reusability. module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » Clock / Reset » Clock / Reset","id":"72","title":"Clock / Reset"},"73":{"body":"In this chapter, we'll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.","breadcrumbs":"Language Reference » Expression » Expression","id":"73","title":"Expression"},"74":{"body":"In expression, operator precedence is almost the same as SystemVerilog. Operator Associativity Precedence () [] :: . Left Highest + - ! ~ & ~& | ~| ^ ~^ ^~ (unary) Left ** Left * / % Left + - (binary) Left << >> <<< >>> Left <: <= >: >= Left == != === !== ==? !=? Left & (binary) Left ^ ~^ ^~ (binary) Left | (binary) Left && Left || Left = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= None {} None Lowest","breadcrumbs":"Language Reference » Expression » Operator Precedence » Operator Precedence","id":"74","title":"Operator Precedence"},"75":{"body":"Function can be call by function_name(argument). System function of SystemVerilog like $clog2 can be used too. module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » Function Call » Function Call","id":"75","title":"Function Call"},"76":{"body":"{} represents bit concatenation. In {}, repeat keyword can be used to repeat specified operand. module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » Concatenation » Concatenation","id":"76","title":"Concatenation"},"77":{"body":"Conditional expression using if can be used. The section which represents condition is placed after if keyword, and () is not required surrounding it. else is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » If » If","id":"77","title":"If"},"78":{"body":"Another conditional expression is case. case containts some arms like expression: expression. If the expression after case keyword matches the left expression of an arm, the right expression of the arm will be returned. default is a special arm which will be returned when all other arms are failed. default is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » Case » Case","id":"78","title":"Case"},"79":{"body":"[] is bit select operator. If an expression is specified to [], single bit is selected. Bit range selection can be specified by [expression:expression]. module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » Bit Select » Bit Select","id":"79","title":"Bit Select"},"8":{"body":"Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"Features » Dependency management","id":"8","title":"Dependency management"},"80":{"body":"Range can be specified through range operator. There are two range operator: ..: half-open interval ..=: closed interval Range can be used at some description like for statement. module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » Range » Range","id":"80","title":"Range"},"81":{"body":"msb and lsb can be used in bit selection by []. msb means most significant bit of the operand. lsb means least significant bit of the operand, it is the same as 0. module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » Msb / Lsb » Msb / Lsb","id":"81","title":"Msb / Lsb"},"82":{"body":"inside check the specified expression is inside conditions which are specified in {}. Condition can be single expression or range . If the expression matches any condition, inside will return 1, otherwise 0. outside is vice versa. module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » Inside / Outside » Inside / Outside","id":"82","title":"Inside / Outside"},"83":{"body":"In this chapter, we'll discuss about statement. Statement can be used in some declaration like always_ff, always_comb.","breadcrumbs":"Language Reference » Statement » Statement","id":"83","title":"Statement"},"84":{"body":"Assignment statement is variable = expression;. Unlike SystemVerilog, assignment operator is = in both always_comb and always_ff. There are other assignment operators: +=: addition assignment -=: subtraction assignment *=: multiplication assignment /=: division assignment %=: remainder assignment &=: bitwise AND assignment |=: bitwise OR assignment ^=: bitwise XOR assignment <<=: logical left shift assignment >>=: logical right shift assignment <<<=: arithmetic left shift assignment >>>=: arithmetic right shift assignment module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » Assignment » Assignment","id":"84","title":"Assignment"},"85":{"body":"Function call can be used as statement. In this case, the return value of function will be ignored. module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » Function Call » Function Call","id":"85","title":"Function Call"},"86":{"body":"if can be used as statement. The difference from if expression is that statements are placed in {}. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » If » If","id":"86","title":"If"},"87":{"body":"case can be used as statement. The right-hand of arm is statement. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » Case » Case","id":"87","title":"Case"},"88":{"body":"for statement represent repetition. Loop variable is placed before in keyword, and range is placed after it. break can be used to break the loop. module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » For » For","id":"88","title":"For"},"89":{"body":"return statement represents return from function. The expression after return keyword is the return value of the function. module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » Return » Return","id":"89","title":"Return"},"9":{"body":"Code generation through generics achieves more reusable code than traditional parameter override. Prarmeters in function like the follwoign example, but also module names of instantiation, type names of struct definition, and so on can be parameterized. SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"Features » Generics","id":"9","title":"Generics"},"90":{"body":"let statement represents a name bound to a value. It can be used in always_ff, always_comb and function declaration. let statement can be placed anywhere in block. module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » Let » Let","id":"90","title":"Let"},"91":{"body":"In this chapter, we'll discuss about declaration.","breadcrumbs":"Language Reference » Declaration » Declaration","id":"91","title":"Declaration"},"92":{"body":"Variable declaration is started by var keyword. After var, variable name, :, and the type of the variable are followed. If there are unused variables, warning will be occured. Variable name starting with _ means unused variable, and suppresses the warning. If you want to bind a value to a name at the declaration, let can be used instead of var. module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » Variable » Variable","id":"92","title":"Variable"},"93":{"body":"Parameter can be declarated as the same as variable. param keyword can be used at module header, it can be overridden at instantiation. local keyword can be used in module, it can't be overridden. module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » Parameter » Parameter","id":"93","title":"Parameter"},"94":{"body":"If a variable is assigned in always_ff declaration, it becomes register variable. Register variable will be mapped to flip-flop in synthesis phase. always_ff has mandatory clock variable, optional reset variable, and {} block. Clock and reset are placed in (). The specified clock and reset should have clock / reset type and the witdh of them should be 1bit. if_reset is a special keyword which can be used in always_ff. It means reset condition of the register variable. If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml. If there is a single clock and reset in the module, clock and reset specification can be omitted. module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; var c: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } } always_ff { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » Register » Register","id":"94","title":"Register"},"95":{"body":"If a variable is assigned in always_comb declaration, it means combinational circuit. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » Combinational » Combinational","id":"95","title":"Combinational"},"96":{"body":"assign declaration can assign expression to variable. module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » Assign » Assign","id":"96","title":"Assign"},"97":{"body":"Function can be declared by function keyword. Arguments are placed in () and return type is placed after ->. If function doesn't have a return value, -> can be omitted. module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » Function » Function","id":"97","title":"Function"},"98":{"body":"Statements in initial are executed at the beginning of simulation, final is the end. Both will be ignored logical synthesis, and can be used as debug or assertion. module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » Initial / Final » Initial / Final","id":"98","title":"Initial / Final"},"99":{"body":"Attribute can annotate some declarations like variable declaration.","breadcrumbs":"Language Reference » Declaration » Attribute » Attribute","id":"99","title":"Attribute"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"71":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.23606797749979},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.0},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.0},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.0},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.0}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.0},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.7320508075688772},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.449489742783178},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"85":{"tf":1.0},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":14,"docs":{"11":{"tf":2.449489742783178},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.1622776601683795},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.6457513110645907}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":34,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.7320508075688772},"34":{"tf":2.8284271247461903},"35":{"tf":2.449489742783178},"36":{"tf":2.23606797749979},"42":{"tf":2.0},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":1.7320508075688772},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.0},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.449489742783178},"47":{"tf":2.23606797749979}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":4,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.4142135623730951},"4":{"tf":1.0},"76":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":26,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.0},"146":{"tf":1.4142135623730951},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":6,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"71":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":25,"docs":{"101":{"tf":1.4142135623730951},"104":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"99":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":9,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":1.7320508075688772},"141":{"tf":3.1622776601683795},"142":{"tf":2.23606797749979},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.4142135623730951},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.4142135623730951},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":3,"docs":{"119":{"tf":1.7320508075688772},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":10,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.0},"148":{"tf":2.6457513110645907},"149":{"tf":2.23606797749979},"150":{"tf":1.4142135623730951},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.0},"31":{"tf":1.4142135623730951},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.23606797749979},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.23606797749979},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"119":{"tf":1.4142135623730951},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":13,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.23606797749979},"4":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":18,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":1.7320508075688772},"74":{"tf":1.0},"77":{"tf":1.4142135623730951},"78":{"tf":2.6457513110645907},"79":{"tf":1.0},"82":{"tf":1.7320508075688772},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":6,"docs":{"123":{"tf":1.0},"161":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.4142135623730951},"6":{"tf":1.0},"8":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"129":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.0}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.23606797749979}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.4142135623730951},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":1.7320508075688772},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.449489742783178}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":1.7320508075688772},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":1.7320508075688772}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.4142135623730951},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.1622776601683795},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":1.7320508075688772},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":6,"docs":{"22":{"tf":1.0},"23":{"tf":1.4142135623730951},"25":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.4142135623730951},"54":{"tf":1.4142135623730951},"62":{"tf":2.449489742783178}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":12,"docs":{"110":{"tf":1.0},"113":{"tf":1.4142135623730951},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.0}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.449489742783178},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.0},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.4142135623730951}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":11,"docs":{"0":{"tf":1.4142135623730951},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"147":{"tf":2.0},"149":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"137":{"tf":1.0},"153":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":1.7320508075688772}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.4142135623730951}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.0},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":1.4142135623730951},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":1.7320508075688772},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.4142135623730951},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.4142135623730951},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.4142135623730951},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":5,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":8,"docs":{"101":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"37":{"tf":1.0},"54":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":1.7320508075688772},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.0},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.7320508075688772},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":1.7320508075688772},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.23606797749979},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.4142135623730951},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":1.7320508075688772},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.0},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.4142135623730951},"63":{"tf":2.0}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":25,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.1622776601683795},"121":{"tf":1.4142135623730951},"122":{"tf":1.0},"123":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"134":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":1.4142135623730951},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.23606797749979},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":1.7320508075688772},"144":{"tf":3.605551275463989},"145":{"tf":2.23606797749979},"146":{"tf":2.0},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.4142135623730951},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.23606797749979},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"164":{"tf":1.0},"41":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.0}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.0},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.0},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.8284271247461903},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":3.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"94":{"tf":3.1622776601683795}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.0},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":4,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.0},"55":{"tf":1.4142135623730951},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":8,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":2.6457513110645907},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":8,"docs":{"11":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"37":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0},"94":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.0},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":4,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"94":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":8,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":1.4142135623730951},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":1.7320508075688772},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.4142135623730951},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.4142135623730951},"64":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.4142135623730951},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":4,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.0},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.23606797749979},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":2.8284271247461903},"120":{"tf":1.4142135623730951},"138":{"tf":1.7320508075688772},"155":{"tf":2.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":1.7320508075688772}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.0}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":1.4142135623730951},"59":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951},"64":{"tf":1.4142135623730951},"65":{"tf":3.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.449489742783178},"71":{"tf":1.0},"72":{"tf":3.1622776601683795},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.449489742783178}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.0}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"66":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":2.6457513110645907},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.23606797749979},"141":{"tf":2.23606797749979},"143":{"tf":3.872983346207417},"144":{"tf":1.7320508075688772},"145":{"tf":2.23606797749979},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":1.7320508075688772},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.0}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.7320508075688772}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.7320508075688772},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.7320508075688772},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":28,"docs":{"166":{"tf":1.7320508075688772},"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":2.0},"70":{"tf":1.0},"71":{"tf":2.449489742783178}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.449489742783178},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.242640687119285},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.449489742783178},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.449489742783178},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":2.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.23606797749979}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.4142135623730951}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.4142135623730951},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":2.0},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.449489742783178},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":2.23606797749979},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":2.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":7,"docs":{"59":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.6457513110645907},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":2.0}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"85":{"tf":1.0},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":14,"docs":{"11":{"tf":2.6457513110645907},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.6457513110645907}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":38,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":2.0},"34":{"tf":3.0},"35":{"tf":2.6457513110645907},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.449489742783178},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":2.0}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":2.0},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.23606797749979},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.6457513110645907},"47":{"tf":2.449489742783178}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":6,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.7320508075688772},"162":{"tf":1.0},"163":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.7320508075688772},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.7320508075688772},"4":{"tf":1.0},"76":{"tf":2.0}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":40,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.7320508075688772},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.4142135623730951},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.4142135623730951},"146":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":16,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":2.0},"59":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.4142135623730951},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":29,"docs":{"100":{"tf":1.0},"101":{"tf":1.7320508075688772},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":2.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":2.0},"112":{"tf":2.0},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":2.0},"92":{"tf":1.7320508075688772},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951},"98":{"tf":1.0},"99":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":12,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":2.0},"141":{"tf":3.4641016151377544},"142":{"tf":2.6457513110645907},"143":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":2.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.7320508075688772},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.7320508075688772},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":49,"docs":{"119":{"tf":2.23606797749979},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":11,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.449489742783178},"148":{"tf":3.0},"149":{"tf":2.6457513110645907},"150":{"tf":2.0},"151":{"tf":1.0},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.23606797749979},"164":{"tf":2.449489742783178},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.449489742783178}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.4142135623730951},"3":{"tf":1.0},"31":{"tf":1.7320508075688772},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.449489742783178},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.449489742783178},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.449489742783178},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":48,"docs":{"119":{"tf":2.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":27,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":17,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"40":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":22,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.7320508075688772},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":2.23606797749979},"74":{"tf":1.4142135623730951},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.7320508075688772},"78":{"tf":2.8284271247461903},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":2.0},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":21,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"12":{"tf":1.0},"123":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":2.0},"5":{"tf":1.0},"6":{"tf":1.4142135623730951},"7":{"tf":1.0},"8":{"tf":1.4142135623730951},"9":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.4142135623730951},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"129":{"tf":1.7320508075688772},"130":{"tf":1.7320508075688772},"131":{"tf":1.7320508075688772},"132":{"tf":1.7320508075688772},"133":{"tf":1.7320508075688772},"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":2.0},"63":{"tf":2.23606797749979}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.4142135623730951},"112":{"tf":1.4142135623730951}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.449489742783178}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.7320508075688772},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.449489742783178},"85":{"tf":2.23606797749979},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.8284271247461903}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":2.23606797749979},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.3166247903554},"115":{"tf":1.7320508075688772},"116":{"tf":1.7320508075688772},"117":{"tf":1.7320508075688772},"118":{"tf":1.7320508075688772},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":2.0}}}}},"t":{"df":14,"docs":{"22":{"tf":1.7320508075688772},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.7320508075688772},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.4641016151377544},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":2.0},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":10,"docs":{"22":{"tf":1.0},"23":{"tf":2.0},"24":{"tf":1.0},"25":{"tf":1.7320508075688772},"26":{"tf":1.0},"27":{"tf":1.7320508075688772},"28":{"tf":1.0},"29":{"tf":1.4142135623730951},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.7320508075688772},"54":{"tf":1.7320508075688772},"62":{"tf":2.6457513110645907}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":14,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":2.0},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.8284271247461903},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.449489742783178},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.7320508075688772},"2":{"tf":1.7320508075688772}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}},"t":{"df":4,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"2":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":85,"docs":{"0":{"tf":1.7320508075688772},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":2.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":5,"docs":{"147":{"tf":2.449489742783178},"148":{"tf":1.0},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"151":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":15,"docs":{"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.449489742783178}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.7320508075688772},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"137":{"tf":1.0},"153":{"tf":1.7320508075688772},"154":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":2.23606797749979}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.449489742783178},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.4142135623730951}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":2.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":2.0},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.7320508075688772},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.7320508075688772},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":10,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.7320508075688772},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":8,"docs":{"101":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"37":{"tf":1.0},"54":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":2.0},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.449489742783178},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":2.23606797749979},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":2.0},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.6457513110645907},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":2.23606797749979},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.23606797749979},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.23606797749979}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":39,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.4641016151377544},"121":{"tf":2.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.7320508075688772},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.4142135623730951},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":2.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.449489742783178},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":2.0},"144":{"tf":3.872983346207417},"145":{"tf":2.449489742783178},"146":{"tf":2.23606797749979},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.6457513110645907},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":79,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"164":{"tf":1.0},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.449489742783178}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.23606797749979},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.23606797749979},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.4142135623730951},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":3.0},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":3.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.7416573867739413},"94":{"tf":3.1622776601683795}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.8284271247461903},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.7320508075688772},"128":{"tf":1.7320508075688772},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.7320508075688772},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.7320508075688772},"155":{"tf":1.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.7320508075688772},"158":{"tf":1.7320508075688772},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.449489742783178},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":29,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.4142135623730951},"55":{"tf":1.7320508075688772},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":9,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":3.0},"156":{"tf":2.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":8,"docs":{"11":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"37":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0},"94":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.4142135623730951}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.23606797749979},"149":{"tf":1.4142135623730951},"150":{"tf":1.4142135623730951},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":2.0},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":2.0}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":4,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"94":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":21,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":2.23606797749979},"84":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"88":{"tf":1.4142135623730951},"89":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.23606797749979},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.7320508075688772},"64":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":2.0},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":18,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.7320508075688772},"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.23606797749979},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":2.0},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.23606797749979},"148":{"tf":1.0},"149":{"tf":2.449489742783178},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":3.1622776601683795},"120":{"tf":1.4142135623730951},"138":{"tf":2.0},"155":{"tf":2.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":2.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.4142135623730951}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":2.0},"59":{"tf":2.0},"60":{"tf":2.23606797749979},"61":{"tf":2.23606797749979},"62":{"tf":2.23606797749979},"63":{"tf":2.23606797749979},"64":{"tf":2.23606797749979},"65":{"tf":3.605551275463989},"66":{"tf":2.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"69":{"tf":1.7320508075688772},"70":{"tf":2.8284271247461903},"71":{"tf":1.4142135623730951},"72":{"tf":3.3166247903554},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.6457513110645907}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.4142135623730951}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":5,"docs":{"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":3.0},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.7320508075688772},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.449489742783178},"141":{"tf":2.23606797749979},"143":{"tf":4.0},"144":{"tf":1.7320508075688772},"145":{"tf":2.449489742783178},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":2.0},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"11":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"57":{"tf":1.0},"71":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"13":{"tf":1.0},"84":{"tf":1.0},"96":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"100":{"tf":1.0},"99":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}},"o":{"df":1,"docs":{"6":{"tf":1.0}}}}}},"b":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":1,"docs":{"17":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"26":{"tf":1.0}}}}},"df":0,"docs":{}},"t":{"df":3,"docs":{"53":{"tf":1.0},"55":{"tf":1.0},"79":{"tf":1.0}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"103":{"tf":1.0},"20":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":2,"docs":{"128":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"145":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":2,"docs":{"75":{"tf":1.0},"85":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"25":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":5,"docs":{"29":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"95":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"10":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"161":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"13":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"15":{"tf":1.0},"76":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"146":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":3,"docs":{"58":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"91":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"66":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":4,"docs":{"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":2,"docs":{"0":{"tf":1.0},"125":{"tf":1.0}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":4,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"47":{"tf":1.0}}}}}}}},"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":1,"docs":{"26":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"28":{"tf":1.0},"31":{"tf":1.0}}}}}}},"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"14":{"tf":1.0},"68":{"tf":1.0}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"168":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"16":{"tf":1.0},"73":{"tf":1.0}}}}}}}}},"f":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":13,"docs":{"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"110":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}},"t":{"df":2,"docs":{"136":{"tf":1.0},"6":{"tf":1.0}},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"115":{"tf":1.0},"75":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":7,"docs":{"101":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"9":{"tf":1.0}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"23":{"tf":1.0},"25":{"tf":1.0}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":2,"docs":{"102":{"tf":1.0},"38":{"tf":1.0}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":3,"docs":{"52":{"tf":1.0},"54":{"tf":1.0},"62":{"tf":1.0}},"r":{"df":4,"docs":{"110":{"tf":1.0},"113":{"tf":1.0},"28":{"tf":1.0},"7":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.0}}}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":4,"docs":{"0":{"tf":1.0},"110":{"tf":1.0},"160":{"tf":1.0},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"153":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"57":{"tf":1.0}}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"8":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":2,"docs":{"105":{"tf":1.0},"37":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":3,"docs":{"103":{"tf":1.0},"122":{"tf":1.0},"20":{"tf":1.0}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"51":{"tf":1.0}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"13":{"tf":1.0},"50":{"tf":1.0},"74":{"tf":1.0}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"107":{"tf":1.0},"117":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"163":{"tf":1.0},"93":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"144":{"tf":1.0},"33":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":2,"docs":{"139":{"tf":1.0},"144":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"41":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":2,"docs":{"143":{"tf":1.0},"24":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":12,"docs":{"121":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"79":{"tf":1.0}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"168":{"tf":1.0}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"160":{"tf":1.0}}}}}},"t":{"df":2,"docs":{"53":{"tf":1.0},"55":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"155":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":2,"docs":{"148":{"tf":1.0},"149":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"19":{"tf":1.0},"83":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":3,"docs":{"163":{"tf":1.0},"49":{"tf":1.0},"64":{"tf":1.0}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"118":{"tf":1.0},"67":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"42":{"tf":1.0},"43":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"x":{"df":2,"docs":{"1":{"tf":1.0},"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"132":{"tf":1.0},"149":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":4,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"156":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"5":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":9,"docs":{"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.4142135623730951},"66":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"69":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"66":{"tf":1.0}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"92":{"tf":1.0}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"109":{"tf":1.0},"21":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"25":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}}); \ No newline at end of file diff --git a/nightly/book/searchindex.json b/nightly/book/searchindex.json index 97c1c4fb..9848da71 100644 --- a/nightly/book/searchindex.json +++ b/nightly/book/searchindex.json @@ -1 +1 @@ -{"doc_urls":["01_introduction.html#the-veryl-hardware-description-language","01_introduction.html#optimized-syntax","01_introduction.html#interoperability","01_introduction.html#productivity","02_features.html#features","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#getting-started","03_getting_started/01_installation.html#installation","03_getting_started/01_installation.html#requirement","03_getting_started/01_installation.html#choose-a-way-of-installation","03_getting_started/01_installation.html#download-binary","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#editor-integration","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#other-editors","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#create-project","03_getting_started/02_hello_world.html#write-code","03_getting_started/02_hello_world.html#build-code","04_code_examples.html#code-examples","04_code_examples/01_module.html#module","04_code_examples/02_instantiation.html#instantiation","04_code_examples/03_interface.html#interface","04_code_examples/04_package.html#package","05_language_reference.html#language-reference","05_language_reference/01_source_code_structure.html#source-code-structure","05_language_reference/02_lexical_structure.html#lexical-structure","05_language_reference/02_lexical_structure.html#encoding","05_language_reference/02_lexical_structure.html#white-space","05_language_reference/02_lexical_structure.html#comment","05_language_reference/02_lexical_structure.html#documentation-comment","05_language_reference/02_lexical_structure.html#identifier","05_language_reference/02_lexical_structure.html#string","05_language_reference/02_lexical_structure/01_operator.html#operator","05_language_reference/02_lexical_structure/02_number.html#number","05_language_reference/02_lexical_structure/02_number.html#integer","05_language_reference/02_lexical_structure/02_number.html#set-all-bits","05_language_reference/02_lexical_structure/02_number.html#widthless-integer","05_language_reference/02_lexical_structure/02_number.html#set-sized-bits","05_language_reference/02_lexical_structure/02_number.html#floating-point","05_language_reference/02_lexical_structure/03_array_literal.html#array-literal","05_language_reference/03_data_type.html#data-type","05_language_reference/03_data_type/01_builtin_type.html#builtin-type","05_language_reference/03_data_type/01_builtin_type.html#4-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#2-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#integer-type","05_language_reference/03_data_type/01_builtin_type.html#floating-point-type","05_language_reference/03_data_type/01_builtin_type.html#string-type","05_language_reference/03_data_type/01_builtin_type.html#type-type","05_language_reference/03_data_type/02_user_defined_type.html#user-defined-type","05_language_reference/03_data_type/02_user_defined_type.html#struct","05_language_reference/03_data_type/02_user_defined_type.html#enum","05_language_reference/03_data_type/02_user_defined_type.html#union","05_language_reference/03_data_type/02_user_defined_type.html#typedef","05_language_reference/03_data_type/03_array.html#array","05_language_reference/03_data_type/04_clock_reset.html#clock--reset","05_language_reference/04_expression.html#expression","05_language_reference/04_expression/01_operator_precedence.html#operator-precedence","05_language_reference/04_expression/02_function_call.html#function-call","05_language_reference/04_expression/03_concatenation.html#concatenation","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#bit-select","05_language_reference/04_expression/07_range.html#range","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#statement","05_language_reference/05_statement/01_assignment.html#assignment","05_language_reference/05_statement/02_function_call.html#function-call","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#declaration","05_language_reference/06_declaration/01_variable.html#variable","05_language_reference/06_declaration/02_parameter.html#parameter","05_language_reference/06_declaration/03_register.html#register","05_language_reference/06_declaration/04_combinational.html#combinational","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#function","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#attribute","05_language_reference/06_declaration/08_attribute.html#sv-attribute","05_language_reference/06_declaration/09_generate.html#generate","05_language_reference/06_declaration/10_instantiation.html#instantiation","05_language_reference/06_declaration/11_named_block.html#named-block","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#module","05_language_reference/08_interface.html#interface","05_language_reference/09_package.html#package","05_language_reference/10_systemverilog_interoperation.html#systemverilog-interoperation","05_language_reference/11_visibility.html#visibility","05_language_reference/12_foreign_language_integration.html#foreign-language-integration","05_language_reference/12_foreign_language_integration.html#embed-declaration","05_language_reference/12_foreign_language_integration.html#include-declaration","05_language_reference/13_integrated_test.html#integrated-test","05_language_reference/14_generics.html#generics","05_language_reference/14_generics.html#generic-function","05_language_reference/14_generics.html#generic-moduleinterface","05_language_reference/14_generics.html#generic-package","05_language_reference/14_generics.html#generic-struct","06_development_environment.html#development-environment","06_development_environment/01_project_configuration.html#project-configuration","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#dependencies","06_development_environment/02_dependencies.html#usage-of-dependency","06_development_environment/02_dependencies.html#version-requirement","06_development_environment/03_publish_project.html#publish-project","06_development_environment/03_publish_project.html#version-bump","06_development_environment/03_publish_project.html#configuration","06_development_environment/04_directory_layout.html#directory-layout","06_development_environment/04_directory_layout.html#single-source-directory","06_development_environment/04_directory_layout.html#single-source-and-target-directory","06_development_environment/04_directory_layout.html#multi-source-directory","06_development_environment/04_directory_layout.html#about-gitignore","06_development_environment/05_formatter.html#formatter","06_development_environment/06_linter.html#linter","06_development_environment/06_linter.html#the-lintnaming-section","06_development_environment/07_simulator.html#simulator","06_development_environment/07_simulator.html#the-test-section","06_development_environment/07_simulator.html#the-testverilator-section","06_development_environment/07_simulator.html#the-testvcs-section","06_development_environment/07_simulator.html#the-testvivado-section","06_development_environment/08_language_server.html#language-server","06_development_environment/09_compatibility.html#compatibility","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#string-parameter","06_development_environment/10_documentation.html#documentation","06_development_environment/11_github_action.html#github-action","07_appendix.html#appendix","07_appendix/01_formal_syntax.html#formal-syntax","07_appendix/02_semantic_error.html#semantic-error","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":10,"breadcrumbs":5,"title":4},"1":{"body":41,"breadcrumbs":3,"title":2},"10":{"body":38,"breadcrumbs":3,"title":2},"100":{"body":18,"breadcrumbs":6,"title":2},"101":{"body":25,"breadcrumbs":5,"title":1},"102":{"body":58,"breadcrumbs":5,"title":1},"103":{"body":17,"breadcrumbs":7,"title":2},"104":{"body":60,"breadcrumbs":7,"title":2},"105":{"body":84,"breadcrumbs":4,"title":1},"106":{"body":53,"breadcrumbs":4,"title":1},"107":{"body":24,"breadcrumbs":4,"title":1},"108":{"body":30,"breadcrumbs":6,"title":2},"109":{"body":35,"breadcrumbs":4,"title":1},"11":{"body":75,"breadcrumbs":4,"title":3},"110":{"body":0,"breadcrumbs":8,"title":3},"111":{"body":36,"breadcrumbs":7,"title":2},"112":{"body":19,"breadcrumbs":7,"title":2},"113":{"body":72,"breadcrumbs":6,"title":2},"114":{"body":82,"breadcrumbs":4,"title":1},"115":{"body":15,"breadcrumbs":5,"title":2},"116":{"body":17,"breadcrumbs":5,"title":2},"117":{"body":15,"breadcrumbs":5,"title":2},"118":{"body":33,"breadcrumbs":5,"title":2},"119":{"body":10,"breadcrumbs":4,"title":2},"12":{"body":38,"breadcrumbs":3,"title":2},"120":{"body":68,"breadcrumbs":6,"title":2},"121":{"body":8,"breadcrumbs":6,"title":2},"122":{"body":14,"breadcrumbs":6,"title":2},"123":{"body":29,"breadcrumbs":6,"title":2},"124":{"body":37,"breadcrumbs":6,"title":2},"125":{"body":7,"breadcrumbs":6,"title":2},"126":{"body":19,"breadcrumbs":6,"title":2},"127":{"body":10,"breadcrumbs":6,"title":2},"128":{"body":6,"breadcrumbs":6,"title":2},"129":{"body":18,"breadcrumbs":6,"title":2},"13":{"body":42,"breadcrumbs":5,"title":4},"130":{"body":25,"breadcrumbs":6,"title":2},"131":{"body":27,"breadcrumbs":6,"title":2},"132":{"body":36,"breadcrumbs":6,"title":2},"133":{"body":26,"breadcrumbs":6,"title":2},"134":{"body":13,"breadcrumbs":6,"title":2},"135":{"body":10,"breadcrumbs":6,"title":2},"136":{"body":9,"breadcrumbs":6,"title":2},"137":{"body":8,"breadcrumbs":6,"title":2},"138":{"body":10,"breadcrumbs":6,"title":2},"139":{"body":8,"breadcrumbs":6,"title":2},"14":{"body":33,"breadcrumbs":5,"title":4},"140":{"body":8,"breadcrumbs":6,"title":2},"141":{"body":65,"breadcrumbs":4,"title":1},"142":{"body":52,"breadcrumbs":5,"title":2},"143":{"body":85,"breadcrumbs":5,"title":2},"144":{"body":94,"breadcrumbs":6,"title":2},"145":{"body":53,"breadcrumbs":6,"title":2},"146":{"body":36,"breadcrumbs":5,"title":1},"147":{"body":20,"breadcrumbs":6,"title":2},"148":{"body":61,"breadcrumbs":7,"title":3},"149":{"body":39,"breadcrumbs":8,"title":4},"15":{"body":29,"breadcrumbs":3,"title":2},"150":{"body":40,"breadcrumbs":7,"title":3},"151":{"body":17,"breadcrumbs":5,"title":1},"152":{"body":32,"breadcrumbs":4,"title":1},"153":{"body":24,"breadcrumbs":4,"title":1},"154":{"body":430,"breadcrumbs":5,"title":2},"155":{"body":36,"breadcrumbs":4,"title":1},"156":{"body":18,"breadcrumbs":5,"title":2},"157":{"body":20,"breadcrumbs":5,"title":2},"158":{"body":20,"breadcrumbs":5,"title":2},"159":{"body":26,"breadcrumbs":5,"title":2},"16":{"body":40,"breadcrumbs":3,"title":2},"160":{"body":30,"breadcrumbs":6,"title":2},"161":{"body":13,"breadcrumbs":4,"title":1},"162":{"body":0,"breadcrumbs":4,"title":1},"163":{"body":20,"breadcrumbs":5,"title":2},"164":{"body":125,"breadcrumbs":4,"title":1},"165":{"body":106,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":2,"title":1},"167":{"body":2256,"breadcrumbs":5,"title":2},"168":{"body":0,"breadcrumbs":5,"title":2},"169":{"body":0,"breadcrumbs":4,"title":1},"17":{"body":42,"breadcrumbs":5,"title":4},"170":{"body":0,"breadcrumbs":4,"title":1},"171":{"body":0,"breadcrumbs":4,"title":1},"172":{"body":0,"breadcrumbs":4,"title":1},"173":{"body":0,"breadcrumbs":4,"title":1},"174":{"body":0,"breadcrumbs":4,"title":1},"175":{"body":0,"breadcrumbs":4,"title":1},"176":{"body":0,"breadcrumbs":4,"title":1},"177":{"body":0,"breadcrumbs":4,"title":1},"178":{"body":0,"breadcrumbs":4,"title":1},"179":{"body":0,"breadcrumbs":4,"title":1},"18":{"body":31,"breadcrumbs":3,"title":2},"180":{"body":0,"breadcrumbs":4,"title":1},"181":{"body":0,"breadcrumbs":4,"title":1},"182":{"body":0,"breadcrumbs":4,"title":1},"183":{"body":0,"breadcrumbs":4,"title":1},"184":{"body":0,"breadcrumbs":4,"title":1},"185":{"body":0,"breadcrumbs":4,"title":1},"186":{"body":0,"breadcrumbs":4,"title":1},"187":{"body":0,"breadcrumbs":4,"title":1},"188":{"body":0,"breadcrumbs":4,"title":1},"189":{"body":0,"breadcrumbs":4,"title":1},"19":{"body":35,"breadcrumbs":2,"title":1},"190":{"body":0,"breadcrumbs":4,"title":1},"191":{"body":0,"breadcrumbs":4,"title":1},"192":{"body":0,"breadcrumbs":4,"title":1},"193":{"body":0,"breadcrumbs":4,"title":1},"2":{"body":27,"breadcrumbs":2,"title":1},"20":{"body":13,"breadcrumbs":3,"title":2},"21":{"body":42,"breadcrumbs":3,"title":2},"22":{"body":11,"breadcrumbs":4,"title":2},"23":{"body":10,"breadcrumbs":4,"title":1},"24":{"body":9,"breadcrumbs":4,"title":1},"25":{"body":0,"breadcrumbs":6,"title":3},"26":{"body":6,"breadcrumbs":5,"title":2},"27":{"body":7,"breadcrumbs":4,"title":1},"28":{"body":7,"breadcrumbs":5,"title":2},"29":{"body":28,"breadcrumbs":6,"title":3},"3":{"body":46,"breadcrumbs":2,"title":1},"30":{"body":23,"breadcrumbs":5,"title":2},"31":{"body":11,"breadcrumbs":4,"title":1},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":39,"breadcrumbs":6,"title":2},"34":{"body":60,"breadcrumbs":6,"title":2},"35":{"body":64,"breadcrumbs":6,"title":2},"36":{"body":66,"breadcrumbs":4,"title":2},"37":{"body":112,"breadcrumbs":4,"title":1},"38":{"body":100,"breadcrumbs":4,"title":1},"39":{"body":63,"breadcrumbs":4,"title":1},"4":{"body":47,"breadcrumbs":2,"title":1},"40":{"body":26,"breadcrumbs":4,"title":1},"41":{"body":6,"breadcrumbs":4,"title":2},"42":{"body":34,"breadcrumbs":8,"title":3},"43":{"body":10,"breadcrumbs":6,"title":2},"44":{"body":6,"breadcrumbs":5,"title":1},"45":{"body":10,"breadcrumbs":6,"title":2},"46":{"body":17,"breadcrumbs":5,"title":1},"47":{"body":14,"breadcrumbs":6,"title":2},"48":{"body":19,"breadcrumbs":5,"title":1},"49":{"body":7,"breadcrumbs":5,"title":1},"5":{"body":29,"breadcrumbs":4,"title":3},"50":{"body":95,"breadcrumbs":6,"title":1},"51":{"body":0,"breadcrumbs":6,"title":1},"52":{"body":15,"breadcrumbs":6,"title":1},"53":{"body":10,"breadcrumbs":7,"title":2},"54":{"body":29,"breadcrumbs":7,"title":2},"55":{"body":24,"breadcrumbs":8,"title":3},"56":{"body":9,"breadcrumbs":7,"title":2},"57":{"body":35,"breadcrumbs":8,"title":2},"58":{"body":5,"breadcrumbs":6,"title":2},"59":{"body":0,"breadcrumbs":8,"title":2},"6":{"body":18,"breadcrumbs":3,"title":2},"60":{"body":31,"breadcrumbs":12,"title":6},"61":{"body":29,"breadcrumbs":12,"title":6},"62":{"body":32,"breadcrumbs":8,"title":2},"63":{"body":25,"breadcrumbs":9,"title":3},"64":{"body":7,"breadcrumbs":8,"title":2},"65":{"body":23,"breadcrumbs":8,"title":2},"66":{"body":0,"breadcrumbs":10,"title":3},"67":{"body":31,"breadcrumbs":8,"title":1},"68":{"body":37,"breadcrumbs":8,"title":1},"69":{"body":39,"breadcrumbs":8,"title":1},"7":{"body":25,"breadcrumbs":3,"title":2},"70":{"body":23,"breadcrumbs":8,"title":1},"71":{"body":112,"breadcrumbs":6,"title":1},"72":{"body":131,"breadcrumbs":8,"title":2},"73":{"body":12,"breadcrumbs":4,"title":1},"74":{"body":30,"breadcrumbs":7,"title":2},"75":{"body":27,"breadcrumbs":7,"title":2},"76":{"body":28,"breadcrumbs":5,"title":1},"77":{"body":30,"breadcrumbs":3,"title":0},"78":{"body":54,"breadcrumbs":5,"title":1},"79":{"body":29,"breadcrumbs":7,"title":2},"8":{"body":23,"breadcrumbs":3,"title":2},"80":{"body":26,"breadcrumbs":5,"title":1},"81":{"body":30,"breadcrumbs":7,"title":2},"82":{"body":46,"breadcrumbs":7,"title":2},"83":{"body":9,"breadcrumbs":4,"title":1},"84":{"body":76,"breadcrumbs":5,"title":1},"85":{"body":14,"breadcrumbs":7,"title":2},"86":{"body":22,"breadcrumbs":3,"title":0},"87":{"body":32,"breadcrumbs":5,"title":1},"88":{"body":23,"breadcrumbs":3,"title":0},"89":{"body":18,"breadcrumbs":5,"title":1},"9":{"body":80,"breadcrumbs":2,"title":1},"90":{"body":47,"breadcrumbs":3,"title":0},"91":{"body":4,"breadcrumbs":4,"title":1},"92":{"body":62,"breadcrumbs":5,"title":1},"93":{"body":27,"breadcrumbs":5,"title":1},"94":{"body":85,"breadcrumbs":5,"title":1},"95":{"body":17,"breadcrumbs":5,"title":1},"96":{"body":11,"breadcrumbs":5,"title":1},"97":{"body":37,"breadcrumbs":5,"title":1},"98":{"body":20,"breadcrumbs":7,"title":2},"99":{"body":5,"breadcrumbs":5,"title":1}},"docs":{"0":{"body":"Veryl Veryl is a hardware description language based on SystemVerilog, providing the following advantages:","breadcrumbs":"Introduction » The Veryl Hardware Description Language","id":"0","title":"The Veryl Hardware Description Language"},"1":{"body":"Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.","breadcrumbs":"Introduction » Optimized Syntax","id":"1","title":"Optimized Syntax"},"10":{"body":"Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems. SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"Features » Trailing comma","id":"10","title":"Trailing comma"},"100":{"body":"SV attribute represents SystemVerilog attribute. It will be transpiled to SystemVerilog attribute (* *). module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » Attribute » SV Attribute","id":"100","title":"SV Attribute"},"101":{"body":"Declaration can be generated by for and if. Label which is shown by : is required to idenfity the generated declarations. module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // label of else clause can be omit assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » Generate » Generate","id":"101","title":"Generate"},"102":{"body":"inst keyword represents instantiation of modula and interface. The name of instance is placed after inst keyword, and the type of instance is placed after :. Parameter override is #(), and port connection is (). module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // Parameter assignment by name paramB: 10, ) ( a , // Port connection by name bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » Instantiation » Instantiation","id":"102","title":"Instantiation"},"103":{"body":"Label can be added to {} block. The named block has an individual namespace. module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » Named Block » Named Block","id":"103","title":"Named Block"},"104":{"body":"import declaration imports symbols from other packages. It can be placed at the top level or as a module/interface/package item. Wildcard pattern like package::* can be used as an argument of import declaration. // file scope import\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export declaration exports symbols from the package to other. export * represents to export all symbols. package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic. Overridable parameters can be declared in #(). Each parameter declaration is started by param keyword. After the keyword, an identifier, :, the type of the parameter, and a default value are placed. Connection ports can be declared in (). Each port declaration is constructed by an identifier, :, port direction, and the type of the port. The available port directions are: input: input port output: output port inout: bi-directional port modport: modport of interface module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » Module » Module","id":"105","title":"Module"},"106":{"body":"Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions. Overridable parameters are the same as them of module. In interface definitions, modport can be declared. modport can be used as bundled port connection at the port declaration of module. interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » Interface » Interface","id":"106","title":"Interface"},"107":{"body":"Package is one of top level components in source code. Package can organize some declarations like parameter and function. To access an item in a package, :: symbol can be used like PackageA::ParamA. package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » Package » Package","id":"107","title":"Package"},"108":{"body":"If you want to access to items of SystemVerilog, $sv namespace can be used. For example, \"ModuleA\" in SystemVerilog source code can be accessed by $sv::ModuleA. Veryl don't check the existence of the items. module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilog Interoperation » SystemVerilog Interoperation","id":"108","title":"SystemVerilog Interoperation"},"109":{"body":"By default, all top level items of a project (module, interface and package) are private. The \"private\" means they are not visible from other project. pub keyword can be used to specify an item as public to other project. veryl doc will generate documents of public items only. pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » Visibility » Visibility","id":"109","title":"Visibility"},"11":{"body":"There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code. Additionally, explicit clock and reset type enables to check whether clock and reset are correctly connected to registers. SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff (i_clk, i_rst) { if_reset { } else { } }\n}","breadcrumbs":"Features » Abstraction of clock and reset","id":"11","title":"Abstraction of clock and reset"},"110":{"body":"","breadcrumbs":"Language Reference » Foreign Language Integration » Foreign Language Integration","id":"110","title":"Foreign Language Integration"},"111":{"body":"embed declaration can embed the code of foreign languages. The first argument of embed declaration shows the way of embedding. The following ways are supported: inline: expand the code as is The code block are started by lang{{{ and ended by }}}. The following lang specifiers are supported: sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » Foreign Language Integration » embed declaration","id":"111","title":"embed declaration"},"112":{"body":"include declaration can include a file of foreign languages. The first argument is the same as embed declaration, and the second is a relative file path from the source code. include(inline, \"module.sv\");","breadcrumbs":"Language Reference » Foreign Language Integration » include declaration","id":"112","title":"include declaration"},"113":{"body":"Integrated test can be marked by #[test(test_name)] attribute. The marked block will be identified as test, and executed through veryl test command. The top level module of the block must have the same name as the test name. The messages through $info, $warning, $error and $fatal system function are handled by Veryl compiler, and shown as exectution log. The calls of $error and $fatal are treated as test failure. The following example, a SystemVerilog source code embeded by embed declaration are marked as test. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} About RTL simulator used by veryl test, see Simulator .","breadcrumbs":"Language Reference » Integrated Test » Integrated Test","id":"113","title":"Integrated Test"},"114":{"body":"Generics can define parameterized items which can't achieved by parameter override. The following items support generics: function module interface package struct union Each generic definition has generic parameters (often an uppercase letter is used like T) which can be placed as identifier or expression in the definition. Generic parameters are declarated after item's identifier with ::<>. At the usage of generics, actual parameters can be given through ::<>. As the actual parameters, numeric literal and identifier concatenated by :: can be used. Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can't be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.","breadcrumbs":"Language Reference » Generics » Generics","id":"114","title":"Generics"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » Generics » Generic Function","id":"115","title":"Generic Function"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » Generics » Generic Module/Interface","id":"116","title":"Generic Module/Interface"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » Generics » Generic Package","id":"117","title":"Generic Package"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // local defined type can be used // because `TypeA` is accessible at the definition of `StructA` var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » Generics » Generic Struct","id":"118","title":"Generic Struct"},"119":{"body":"In this chapter, we'll discuss about development environment including project configuration and development tools.","breadcrumbs":"Development Environment » Development Environment","id":"119","title":"Development Environment"},"12":{"body":"Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also MarkDown format or waveform descriptions using WaveDrom . SystemVerilog\nVeryl // Comment\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"Features » Documentation comment","id":"12","title":"Documentation comment"},"120":{"body":"[project] --- Defines a project. name --- The name of the project. version --- The version of the project. authors --- The authors of the project. description --- A description of the project. license --- The project license. repository --- URL of the project source repository. [build] --- Build settings. clock_type --- The type of clock. reset_type --- The type of reset. filelist_type --- The type of filelist. target --- The way of output. implicit_parameter_types --- Whether implicit parameter type is enabled. omit_project_prefix --- Whether omit project prefix. strip_comments --- Whether strip comments. [format] --- Format settings. [lint] --- Lint settings. [test] --- Test settings. [publish] --- Publish settings. [dependencies] --- Library dependencies.","breadcrumbs":"Development Environment » Project Configuration » Project Configuration","id":"120","title":"Project Configuration"},"121":{"body":"The first section of Veryl.toml is [project]. The mandatory fields are name and version.","breadcrumbs":"Development Environment » Project Configuration » The [project] section","id":"121","title":"The [project] section"},"122":{"body":"The project name is used as prefix in the generated codes. So the name must start with alphabet or _, and use only alphanumeric charaters or _.","breadcrumbs":"Development Environment » Project Configuration » The name field","id":"122","title":"The name field"},"123":{"body":"The project version should follow Semantic Versioning . The version is constructed by the following three numbers. Major -- increment at incompatible changes Minor -- increment at adding features with backward compatibility Patch -- increment at bug fixes with backward compatibility [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » Project Configuration » The version field","id":"123","title":"The version field"},"124":{"body":"The optional authors field lists in an array the people or organizations that are considered the \"authors\" of the project. The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used. [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » Project Configuration » The authors field","id":"124","title":"The authors field"},"125":{"body":"The description is a short blurb about the project. This should be plane text (not Markdown).","breadcrumbs":"Development Environment » Project Configuration » The description field","id":"125","title":"The description field"},"126":{"body":"The license field contains the name of license that the project is released under. The string should be follow SPDX 2.3 license expression . [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » Project Configuration » The license field","id":"126","title":"The license field"},"127":{"body":"The repository field should be a URL to the source repository for the project. [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » Project Configuration » The repository field","id":"127","title":"The repository field"},"128":{"body":"The [build] section contains the configurations of code generation.","breadcrumbs":"Development Environment » Project Configuration » The [build] section","id":"128","title":"The [build] section"},"129":{"body":"The clock_type field specifies which clock edge is used to drive flip-flop. The available types are below: posedge -- positive edge negedge -- negetive edge","breadcrumbs":"Development Environment » Project Configuration » The clock_type field","id":"129","title":"The clock_type field"},"13":{"body":"There is no dedicated non-blocking assignment operator; within always_ff, non-blocking assignments are inferred, while within always_comb, blocking assignments are inferred. Therefore, various compound assignment operators can be used within always_ff just like within always_comb. SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"Features » Compound assignment operator in always_ff","id":"13","title":"Compound assignment operator in always_ff"},"130":{"body":"The reset_type field specifies reset polarity and synchronisity. The available types are below: async_low -- asynchronous and active low async_high -- asynchronous and active high sync_low -- synchronous and active low sync_high -- synchronous and active high","breadcrumbs":"Development Environment » Project Configuration » The reset_type field","id":"130","title":"The reset_type field"},"131":{"body":"The filelist_type field specifies filelist format. The available types are below: absolute -- plane text filelist including absolute file paths relative -- plane text filelist including relative file paths flgen -- flgen filelist","breadcrumbs":"Development Environment » Project Configuration » The filelist_type field","id":"131","title":"The filelist_type field"},"132":{"body":"The target field specifies where the generated codes will be placed at. The available types are below: source -- as the same directory as the source code directory -- specified directory bundle -- specified file If you want to use directory or bundle, you should specify the target path by path key. [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » Project Configuration » The target field","id":"132","title":"The target field"},"133":{"body":"The implicit_parameter_types field lists the types which will be elided in parameter declaration of the generated codes. This is because some EDA tools don't support parameter declaration with specific types (ex.string). If you want to elide string, you can specify like below: [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » Project Configuration » The implicit_parameter_types field","id":"133","title":"The implicit_parameter_types field"},"134":{"body":"If omit_project_prefix is set to true, the project prefix of module/interface/package name will be omitted. This is false by default. [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » Project Configuration » The omit_project_prefix field","id":"134","title":"The omit_project_prefix field"},"135":{"body":"If strip_comments is set to true, all comments will be stripped. This is false by default. [build]\nstrip_comments = true","breadcrumbs":"Development Environment » Project Configuration » The strip_comments field","id":"135","title":"The strip_comments field"},"136":{"body":"The [format] section contains the configurations of code formatter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [format] section","id":"136","title":"The [format] section"},"137":{"body":"The [lint] section contains the configurations of linter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [lint] section","id":"137","title":"The [lint] section"},"138":{"body":"The [test] section contains the configurations of test by RTL simulator. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [test] section","id":"138","title":"The [test] section"},"139":{"body":"The [publish] section contains the configurations of publishing. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [publish] section","id":"139","title":"The [publish] section"},"14":{"body":"Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions. SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"Features » Individual namespace of enum variant","id":"14","title":"Individual namespace of enum variant"},"140":{"body":"The [dependencies] section contains library dependencies. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [dependencies] section","id":"140","title":"The [dependencies] section"},"141":{"body":"If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies] section in Veryl.toml. The left hand side of entry is path to the dependency, and the right hand side is version. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" By default, the namespace of the dependency is the same as the project name of the dependency. If you want to specify namespace, you can use name field. [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} If you want to use many versions of the same dependency path, you can specify each name. [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » Dependencies » Dependencies","id":"141","title":"Dependencies"},"142":{"body":"After adding dependencies to Veryl.toml, you can use moudle, interface and package in the dependencies. The following example uses delay module in the veryl_sample dependency. module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} Note: The result of play button in the above code is not exact because it doesn't use dependency resolution. Actually the module name becomes veryl_samlle_delay","breadcrumbs":"Development Environment » Dependencies » Usage of dependency","id":"142","title":"Usage of dependency"},"143":{"body":"The version field of [dependencies] section shows version requirement. For example, version = \"0.1.0\" means the latest version which has compatibility with 0.1.0. The compatibility is judged by Semantic Versioning . A version is constructed from the following three parts. MAJOR version when you make incompatible API changes MINOR version when you add functionality in a backwards compatible manner PATCH version when you make backwards compatible bug fixes If MAJOR version is 0, MINOR is interpreted as incompatible changes. If there are 0.1.0 and 0.1.1 and 0.2.0, 0.1.1 will be selected. This is because 0.1.0 is compatible with 0.1.0. 0.1.1 is compatible with 0.1.0. 0.2.0 is not compatible with 0.1.0. 0.1.1 is the latest in the compatible versions. The version field allows other version requirement reprensentation like =0.1.0. Please see version requirement of Rust for detailed information: Specifying Dependencies .","breadcrumbs":"Development Environment » Dependencies » Version Requirement","id":"143","title":"Version Requirement"},"144":{"body":"To publish your project, veryl publish can be used. Publising means to associate a version with a git revision. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish generates Veryl.pub which contains published version information like below. [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" After generating Veryl.pub, publishing sequence is completed by git add, commit and push. The git branch to be committed must be the default branch because Veryl search Veryl.pub in the default branch. $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push If you enable automatic commit by publish_commit in [publish] section of Veryl.toml, git add and commit will be executed after publish. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Publish Project","id":"144","title":"Publish Project"},"145":{"body":"You can bump version with publish at the same time by --bump option. As the same as publish, bump_commit in [publish] section of Veryl.toml can specify automatic commit after bump version. $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Version Bump","id":"145","title":"Version Bump"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" Configuration Value Default Description bump_commit boolean false automatic commit after bump publish_commit boolean false automatic commit after publish bump_commit_mesasge string \"chore: Bump version\" commit message after bump publish_commit_mesasge string \"chore: Publish\" commit message after publish","breadcrumbs":"Development Environment » Publish Project » Configuration","id":"146","title":"Configuration"},"147":{"body":"Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different. In this section, we suggest some directory layout patterns.","breadcrumbs":"Development Environment » Directory Layout » Directory Layout","id":"147","title":"Directory Layout"},"148":{"body":"This pattern contains all sources in src directory. In src, you can configure arbitrary sub directories. $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl gathers all *.veryl files and generates codes at the same directory as the source by default. You can show the behaviour explicitly by the following configuration. [build]\ntarget = \"source\" After veryl build, the directory structure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source directory","id":"148","title":"Single source directory"},"149":{"body":"If you want to place the generated codes into a directory, you can use target configure in [build] section of Veryl.toml. [build]\ntarget = {type = \"directory\", path = \"target\"} The directory layout of this configure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source and target directory","id":"149","title":"Single source and target directory"},"15":{"body":"By adopting the explicit repeat syntax as a repetition description in bit concatenation, readability improves over complex combinations of {}. SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"Features » repeat of concatenation","id":"15","title":"repeat of concatenation"},"150":{"body":"If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure. $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files The generated prj.f lists all generated files. So you can use it along with the existing SystemVerilog filelists.","breadcrumbs":"Development Environment » Directory Layout » Multi source directory","id":"150","title":"Multi source directory"},"151":{"body":"Veryl doesn't provide the default .gitignore. This is because which files should be ignored is different by each projects. The candidates of .gitignore is below: dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » Directory Layout » About .gitignore","id":"151","title":"About .gitignore"},"152":{"body":"Source code can be formatted by veryl fmt command. Alternatively, language server support formatting through textDocument/formatting request. The available configurations are below. These can be specified in [format] section of Veryl.toml. [format]\nindent_width = 4 Configuration Value Description indent_width integer indent width by space","breadcrumbs":"Development Environment » Formatter » Formatter","id":"152","title":"Formatter"},"153":{"body":"Lint check is executed at veryl check or veryl build. Alternatively, language server checks lint in real time. The available configurations are below. These can be specified in [lint] section of Veryl.toml. [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » Linter » Linter","id":"153","title":"Linter"},"154":{"body":"This section contains configurations of naming conventions. Configuration Value Description case_enum case type [1] case style of enum case_function case type [1] case style of function case_instance case type [1] case style of instance case_interface case type [1] case style of interface case_modport case type [1] case style of modport case_module case type [1] case style of module case_package case type [1] case style of package case_parameter case type [1] case style of parameter case_port_inout case type [1] case style of inout port case_port_input case type [1] case style of input port case_port_modport case type [1] case style of modport port case_port_output case type [1] case style of output port case_reg case type [1] case style of register type variable [2] case_struct case type [1] case style of struct case_wire case type [1] case style of wire type variable [3] prefix_enum string prefix of enum prefix_function string prefix of function prefix_instance string prefix of instance prefix_interface string prefix of interface prefix_modport string prefix of modport prefix_module string prefix of module prefix_package string prefix of package prefix_parameter string prefix of parameter prefix_port_inout string prefix of inout port prefix_port_input string prefix of input port prefix_port_modport string prefix of modport port prefix_port_output string prefix of output port prefix_reg string prefix of register type variable [2] prefix_struct string prefix of struct prefix_wire string prefix of wire type variable [3] re_forbidden_enum regex [4] regex forbidden of enum re_forbidden_function regex [4] regex forbidden of function re_forbidden_instance regex [4] regex forbidden of instance re_forbidden_interface regex [4] regex forbidden of interface re_forbidden_modport regex [4] regex forbidden of modport re_forbidden_module regex [4] regex forbidden of module re_forbidden_package regex [4] regex forbidden of package re_forbidden_parameter regex [4] regex forbidden of parameter re_forbidden_port_inout regex [4] regex forbidden of inout port re_forbidden_port_input regex [4] regex forbidden of input port re_forbidden_port_modport regex [4] regex forbidden of modport port re_forbidden_port_output regex [4] regex forbidden of output port re_forbidden_reg regex [4] regex forbidden of register type variable [2] re_forbidden_struct regex [4] regex forbidden of struct re_forbidden_wire regex [4] regex forbidden of wire type variable [3] re_required_enum regex [4] regex required of enum re_required_function regex [4] regex required of function re_required_instance regex [4] regex required of instance re_required_interface regex [4] regex required of interface re_required_modport regex [4] regex required of modport re_required_module regex [4] regex required of module re_required_package regex [4] regex required of package re_required_parameter regex [4] regex required of parameter re_required_port_inout regex [4] regex required of inout port re_required_port_input regex [4] regex required of input port re_required_port_modport regex [4] regex required of modport port re_required_port_output regex [4] regex required of output port re_required_reg regex [4] regex required of register type variable [2] re_required_struct regex [4] regex required of struct re_required_wire regex [4] regex required of wire type variable [3] The available values are \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase Regular expression string like \".*\". The available syntax is here . Register type means that the variable is assigned in always_ff. It will be mapped to flip-flop in synthesis phase. Wire type means that the variable is assigned in always_comb. It will be mapped to wire in synthesis phase.","breadcrumbs":"Development Environment » Linter » The [lint.naming] section","id":"154","title":"The [lint.naming] section"},"155":{"body":"Test by RTL simulator is executed through veryl test. Supported simulators are below: Verilator Synopsys VCS AMD Vivado Simulator Verilator is the default simulator. If no simulator is specified through Veryl.toml and commandline option, it will be used. The available configurations are below. These can be specified in [test] section of Veryl.toml. [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » Simulator » Simulator","id":"155","title":"Simulator"},"156":{"body":"This section contains configurations of test. Configuration Value Description simulator simulator name [1] default simulator The available values are \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » Simulator » The [test] section","id":"156","title":"The [test] section"},"157":{"body":"This section contains configurations of test by Verilator. Configuration Value Description compile_args [string] additional arguments to verilator command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.verilator] section","id":"157","title":"The [test.verilator] section"},"158":{"body":"This section contains configurations of test by VCS. Configuration Value Description compile_args [string] additional arguments to vcs command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.vcs] section","id":"158","title":"The [test.vcs] section"},"159":{"body":"This section contains configurations of test by Vivado. Configuration Value Description compile_args [string] additional arguments to xvlog command elaborate_args [string] additional arguments to xelab command simulate_args [string] additional arguments to xsim command","breadcrumbs":"Development Environment » Simulator » The [test.vivado] section","id":"159","title":"The [test.vivado] section"},"16":{"body":"By adopting if and case expressions instead of the ternary operator, readability improves, especially when comparing a large number of items. SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"Features » if / case expression","id":"16","title":"if / case expression"},"160":{"body":"veryl-ls is a language server binary. If you want to use it, editor configuration or plugin to use it is required. The available configurations are below. These can be specified by each editor's config. Configuration Value Default Description useOperatorCompletion boolean false use operator (e.g. '>:', '>>') completion","breadcrumbs":"Development Environment » Language Server » Language Server","id":"160","title":"Language Server"},"161":{"body":"Some tools supporting SystemVerilog don't support some features. Code generation can be customized by configuration of Veryl.toml to support these tools.","breadcrumbs":"Development Environment » Compatibility » Compatibility","id":"161","title":"Compatibility"},"162":{"body":"","breadcrumbs":"Development Environment » Compatibility » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivado don't support parameter which is typed as string. parameter string a = \"A\"; So you can use implicit_parameter_types like below: [build]\nimplicit_parameter_types = [\"string\"] By the configuration, the generated code becomes like below: parameter a = \"A\";","breadcrumbs":"Development Environment » Compatibility » String parameter","id":"163","title":"String parameter"},"164":{"body":"Documant of project can be generated by veryl doc command. All public modules, interfaces and packages will be listed in it. (See Visibility ) If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used. Waveform description based on WaveDrom is supported too. In a wavedrom code block, the syntax of WaveDrom can be written. Please refer Tutorial for the detailed syntax. /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} The available configurations are below. These can be specified in [doc] section of Veryl.toml. [doc]\npath = \"document\" Configuration Value Default Description path string \"doc\" path to output directory","breadcrumbs":"Development Environment » Documentation » Documentation","id":"164","title":"Documentation"},"165":{"body":"The official GitHub action to download a prebuilt binary of Veryl is provided. https://github.com/marketplace/actions/setup-veryl The examples of GitHub action script are below: Format and build check name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check Publish document through GitHub Pages name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Test by Verilator For this purpose, we provide GitHub action veryl-lang/setup-verilator . name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"Appendix » Appendix","id":"166","title":"Appendix"},"167":{"body":"Veryl's parser is based on parser generator parol . The following syntex definition of parol is formal syntax. %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » Formal Syntax » Formal Syntax","id":"167","title":"Formal Syntax"},"168":{"body":"","breadcrumbs":"Appendix » Semantic Error » Semantic Error","id":"168","title":"Semantic Error"},"169":{"body":"","breadcrumbs":"Appendix » Semantic Error » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"With notation representing closed intervals ..= and half-open intervals .., it is possible to uniformly describe ranges using for, inside, and outside (which denotes the inverse of inside). SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"Features » Range-based for / inside / outside","id":"17","title":"Range-based for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"The msb notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer. SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"Features » msb notation","id":"18","title":"msb notation"},"180":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » Semantic Error » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"There is a dedicated let statement available for binding values simultaneously with variable declaration, which can be used in various contexts that were not supported in SystemVerilog. SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"Features » let statement","id":"19","title":"let statement"},"190":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » Semantic Error » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.","breadcrumbs":"Introduction » Interoperability","id":"2","title":"Interoperability"},"20":{"body":"You can define named blocks to limit the scope of variables. SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"Features » Named block","id":"20","title":"Named block"},"21":{"body":"Modules without the pub keyword cannot be referenced from outside the project and are not included in automatic documentation generation. This allows distinguishing between what should be exposed externally from the project and internal implementations. SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} Some browsers by default pause the playback of GIF animations. Please check your browser settings.","breadcrumbs":"Features » Visibility control","id":"21","title":"Visibility control"},"22":{"body":"Let's start to use Veryl. In this section, we will install Veryl, create an example project, and build it.","breadcrumbs":"Getting Started » Getting Started","id":"22","title":"Getting Started"},"23":{"body":"You can install Veryl by downloading binary. If you have Rust development environment, you can use cargo instead of it.","breadcrumbs":"Getting Started » Installation » Installation","id":"23","title":"Installation"},"24":{"body":"Veryl uses git command internally. Please confirm git can be launched.","breadcrumbs":"Getting Started » Installation » Requirement","id":"24","title":"Requirement"},"25":{"body":"","breadcrumbs":"Getting Started » Installation » Choose a way of installation","id":"25","title":"Choose a way of installation"},"26":{"body":"Download from release page , and extract to the directory in PATH.","breadcrumbs":"Getting Started » Installation » Download binary","id":"26","title":"Download binary"},"27":{"body":"You can install with cargo . cargo install veryl veryl-ls","breadcrumbs":"Getting Started » Installation » Cargo","id":"27","title":"Cargo"},"28":{"body":"Visual Studio Code and Vim / Neovim are supported officially.","breadcrumbs":"Getting Started » Installation » Editor integration","id":"28","title":"Editor integration"},"29":{"body":"For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching \"Veryl\" in extension panel or the following URL. Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » Installation » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity. With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.","breadcrumbs":"Introduction » Productivity","id":"3","title":"Productivity"},"30":{"body":"For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL. Vim / Neovim plugin","breadcrumbs":"Getting Started » Installation » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.","breadcrumbs":"Getting Started » Installation » Other Editors","id":"31","title":"Other Editors"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"At first, a new Veryl project can be created by: veryl new hello After the command, the following directory and file will be created. $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml is the project configuration. [project]\nname = \"hello\"\nversion = \"0.1.0\" The description of all configuration is here .","breadcrumbs":"Getting Started » Hello, World! » Create Project","id":"33","title":"Create Project"},"34":{"body":"You can add source codes at an arbitrary position in the project directory. This is because Veryl project can be independent or integrated to other SystemVerilog project. The extension of Veryl's source codes is .veryl. For example, put the following code to src/hello.veryl. module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files Note: Some source codes in the book have play button \"▶\" which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of module ModuleA code.","breadcrumbs":"Getting Started » Hello, World! » Write Code","id":"34","title":"Write Code"},"35":{"body":"You can generate a SystemVerilog code by veryl build. $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files By default, SystemVerilog code will be generated at the same directory as Veryl code. The generated code is src/hello.sv. module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule Additionally, hello.f which is the filelist of generated codes will be generated. You can use it for SystemVerilog compiler. The following example is to use Verilator . $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » Build Code","id":"35","title":"Build Code"},"36":{"body":"Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code. This is a small example. In the following example, comments show the difference with SystemVerilog syntax. module ModuleA ( // name is first, and type is followed after `:` // bit width is represented by `<>` i_data: input logic<10>, o_data: output logic<10>, // use `{}` instead of `begin`/`end`\n) { assign o_data = i_data;\n} Additionally, the codeblocks in this chapter can be edit. Let's try to edit and play each code. A source code of Veryl has some module, interface and package like SystemVerilog. In this chapter, we'll show the some example source codes of them.","breadcrumbs":"Code Examples » Code Examples","id":"36","title":"Code Examples"},"37":{"body":"// module definition\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // trailing comma is allowed\n) ( i_clk : input clock , // `clock` is a special type for clock i_rst : input reset , // `reset` is a special type for reset i_sel : input logic , i_data: input logic [2], // `[]` means unpacked array in SystemVerilog o_data: output logic , // `<>` means packed array in SystemVerilog\n) { // local parameter declaration // `param` is not allowed in module local ParamC: u32 = 10; // variable declaration var r_data0: logic; var r_data1: logic; // value binding let _w_data2: logic = i_data; // always_ff statement with reset // `always_ff` can take a mandatory clock and a optional reset // `if_reset` means `if (i_rst)`. This conceals reset porality // `()` of `if` is not required // `=` in `always_ff` is non-blocking assignment always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // always_ff statement without reset always_ff (i_clk) { r_data1 = r_data0; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » Module » Module","id":"37","title":"Module"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // instance declaration // `inst` keyword starts instance declaration // port connnection can be specified by `()` // each port connection is `[port_name]:[variable]` // `[port_name]` means `[port_name]:[port_name]` inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // instance declaration with parameter override // notation of parameter connection is the same as port inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » Instantiation » Instantiation","id":"38","title":"Instantiation"},"39":{"body":"// interface definition\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport definition modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // port declaration by modport intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // interface instantiation inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » Interface » Interface","id":"39","title":"Interface"},"4":{"body":"In this chapter, we introduce the features of Veryl along with clear examples. Real-time diagnostics Auto formatting Integrated test Dependency management Generics Trailing comma Abstraction of clock and reset Documentation comment Compound assignment operator in always_ff Individual namespace of enum variant repeat of concatenation if / case expression Range-based for / inside / outside msb notation let statement Named block Visibility control","breadcrumbs":"Features » Features","id":"4","title":"Features"},"40":{"body":"// package definition\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » Package » Package","id":"40","title":"Package"},"41":{"body":"In this chapter, we'll discuss the lauguage definition of Veryl.","breadcrumbs":"Language Reference » Language Reference","id":"41","title":"Language Reference"},"42":{"body":"Veryl's source code is composed by some module, interface and package. module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} The name of module, interface and package in the transpiled code will added project name as prefix. In the sample code, project_ will be added. It is to avoid name conflict between projects.","breadcrumbs":"Language Reference » Source Code Structure » Source Code Structure","id":"42","title":"Source Code Structure"},"43":{"body":"This chapter shows the lexical structure of Veryl. At the first, we'll discuss about the general parts in it.","breadcrumbs":"Language Reference » Lexical Structure » Lexical Structure","id":"43","title":"Lexical Structure"},"44":{"body":"The encoding of Veryl source code should be UTF-8.","breadcrumbs":"Language Reference » Lexical Structure » Encoding","id":"44","title":"Encoding"},"45":{"body":"(white space), \\t and \\n are treated as white space. All of them are skipped by Veryl's parser.","breadcrumbs":"Language Reference » Lexical Structure » White Space","id":"45","title":"White Space"},"46":{"body":"Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code. // single line comment /*\nmulti line comment\n*/","breadcrumbs":"Language Reference » Lexical Structure » Comment","id":"46","title":"Comment"},"47":{"body":"Signle line comment starts with /// is treated as documentation comment. Documentation comment is used for document generation. /// documentation comment","breadcrumbs":"Language Reference » Lexical Structure » Documentation comment","id":"47","title":"Documentation comment"},"48":{"body":"Identifier is composed with ASCII alphabet and number and _. Leading number is not allowed. The following regular expression shows the definition. [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » Lexical Structure » Identifier","id":"48","title":"Identifier"},"49":{"body":"String is surrounded by \". Escape by \\ can be used like \\\", \\n and so on. \"Hello, World!\"","breadcrumbs":"Language Reference » Lexical Structure » String","id":"49","title":"String"},"5":{"body":"Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor. In the following example, adding the _ prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings. diagnostics If the video does not play [1]","breadcrumbs":"Features » Real-time diagnostics","id":"5","title":"Real-time diagnostics"},"50":{"body":"Almost all operators are the same as SystemVerilog. Please be careful the some differences. <: less than operator which is the same as < in SystemVerilog. >: greater than operator which is the same as > in SystemVerilog. // unary arithmetic\na = +1;\na = -1; // unary logical\na = !1;\na = ~1; // unary reduce\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // binary arithmetic\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // binary shift\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // binary compare\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // binary bitwise\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // binary logical\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » Operator » Operator","id":"50","title":"Operator"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » Number » Number","id":"51","title":"Number"},"52":{"body":"// integer\n0123456789\n01_23_45_67_89 // binary\n32'b01xzXZ\n32'b01_xz_XZ // octal\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // decimal\n32'd0123456789\n32'd01_23_45_67_89 // hex\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » Number » Integer","id":"52","title":"Integer"},"53":{"body":"// all 0\n'0 // all 1\n'1 // all x\n'x\n'X // all z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » Number » Set all bits","id":"53","title":"Set all bits"},"54":{"body":"The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code. module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Widthless integer","id":"54","title":"Widthless integer"},"55":{"body":"The bit width specification can be added to \"set all bits\". module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Set sized bits","id":"55","title":"Set sized bits"},"56":{"body":"// floating point\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // floating with exponent\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » Number » Floating point","id":"56","title":"Floating point"},"57":{"body":"'{} represents array literal. In the literal, expression, repeat keyword and default keyword can be placed. module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » Array Literal » Array Literal","id":"57","title":"Array Literal"},"58":{"body":"In this chapter, we'll discuss about data type.","breadcrumbs":"Language Reference » Data Type » Data Type","id":"58","title":"Data Type"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » Builtin Type » Builtin Type","id":"59","title":"Builtin Type"},"6":{"body":"In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible. format If the video does not play [1]","breadcrumbs":"Features » Auto formatting","id":"6","title":"Auto formatting"},"60":{"body":"logic is 4-state (0, 1, x, z) data type. The variable width can be specified by <> after logic. Multi-dimentional can be specified by . module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 4-state data type which has variable width","id":"60","title":"4-state data type which has variable width"},"61":{"body":"bit is 2-state (0, 1) data type. The variable width can be specified by <> after bit. Multi-dimentional can be specified by . module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 2-state data type which has variable width","id":"61","title":"2-state data type which has variable width"},"62":{"body":"There are some integer types: u32: 32bit unsigned integer u64: 64bit unsigned integer i32: 32bit signed integer i64: 64bit signed integer module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Integer type","id":"62","title":"Integer type"},"63":{"body":"There are some floating point types: f32: 32bit floating point f64: 64bit floating point Both of them are represented as described by IEEE Std 754. module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Floating point type","id":"63","title":"Floating point type"},"64":{"body":"string is string type. module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » String type","id":"64","title":"String type"},"65":{"body":"type is a type which represents type kind. Variable of type can be defined as param or local only. module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Type type","id":"65","title":"Type type"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » User Defined Type » User Defined Type","id":"66","title":"User Defined Type"},"67":{"body":"struct is composite data type. It can contain some fields, and these fields can be access through . operator. module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Struct","id":"67","title":"Struct"},"68":{"body":"enum is enumerable type. It has some named variant, and the value of enum can be set to the one of them. The variant name can be specified by [enum name]::[variant name]. Each variant has the corresponding integral value. The value can be specified by =. Otherwise, it is assigned automatically. module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Enum","id":"68","title":"Enum"},"69":{"body":"A Veryl union is a packed, untagged sum type and is transpiled to SystemVerilog's packed union. Each union variant should have the same packed width as each other union variant. module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Union","id":"69","title":"Union"},"7":{"body":"Test code written by SystemVerilog can be embeded in Veryl code, it can be executed through veryl test command. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"Features » Integrated test","id":"7","title":"Integrated test"},"70":{"body":"The type keyword can be used to define a type alias to scalar or array types. module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Typedef","id":"70","title":"Typedef"},"71":{"body":"Array can be defined by appending [] to any data type. The length of array can be specified by the value in []. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} Multi-dimentional array can be defined by [X, Y, Z,,,]. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » Array » Array","id":"71","title":"Array"},"72":{"body":"clock is a special types to represent clock wiring. There are 3 variants to specify clock polarity. clock: clock type of which polarity is specified by the build option clock_posedge: clock type of which polarity is positive clock_negedge: clock type of which polarity is negative reset is a special types to represent reset wiring. There are 5 variants to specify reset polarity and synchronicity. reset: reset type of which polarity and synchronicity are specified by the build option reset_async_high: async/high active reset type reset_async_low: async/low active reset type reset_sync_high: sync/active high reset type reset_sync_low: sync/active low reset type If there is no special requirement, clock and reset are recommended for code reusability. module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » Clock / Reset » Clock / Reset","id":"72","title":"Clock / Reset"},"73":{"body":"In this chapter, we'll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.","breadcrumbs":"Language Reference » Expression » Expression","id":"73","title":"Expression"},"74":{"body":"In expression, operator precedence is almost the same as SystemVerilog. Operator Associativity Precedence () [] :: . Left Highest + - ! ~ & ~& | ~| ^ ~^ ^~ (unary) Left ** Left * / % Left + - (binary) Left << >> <<< >>> Left <: <= >: >= Left == != === !== ==? !=? Left & (binary) Left ^ ~^ ^~ (binary) Left | (binary) Left && Left || Left = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= None {} None Lowest","breadcrumbs":"Language Reference » Expression » Operator Precedence » Operator Precedence","id":"74","title":"Operator Precedence"},"75":{"body":"Function can be call by function_name(argument). System function of SystemVerilog like $clog2 can be used too. module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » Function Call » Function Call","id":"75","title":"Function Call"},"76":{"body":"{} represents bit concatenation. In {}, repeat keyword can be used to repeat specified operand. module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » Concatenation » Concatenation","id":"76","title":"Concatenation"},"77":{"body":"Conditional expression using if can be used. The section which represents condition is placed after if keyword, and () is not required surrounding it. else is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » If » If","id":"77","title":"If"},"78":{"body":"Another conditional expression is case. case containts some arms like expression: expression. If the expression after case keyword matches the left expression of an arm, the right expression of the arm will be returned. default is a special arm which will be returned when all other arms are failed. default is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » Case » Case","id":"78","title":"Case"},"79":{"body":"[] is bit select operator. If an expression is specified to [], single bit is selected. Bit range selection can be specified by [expression:expression]. module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » Bit Select » Bit Select","id":"79","title":"Bit Select"},"8":{"body":"Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"Features » Dependency management","id":"8","title":"Dependency management"},"80":{"body":"Range can be specified through range operator. There are two range operator: ..: half-open interval ..=: closed interval Range can be used at some description like for statement. module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » Range » Range","id":"80","title":"Range"},"81":{"body":"msb and lsb can be used in bit selection by []. msb means most significant bit of the operand. lsb means least significant bit of the operand, it is the same as 0. module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » Msb / Lsb » Msb / Lsb","id":"81","title":"Msb / Lsb"},"82":{"body":"inside check the specified expression is inside conditions which are specified in {}. Condition can be single expression or range . If the expression matches any condition, inside will return 1, otherwise 0. outside is vice versa. module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » Inside / Outside » Inside / Outside","id":"82","title":"Inside / Outside"},"83":{"body":"In this chapter, we'll discuss about statement. Statement can be used in some declaration like always_ff, always_comb.","breadcrumbs":"Language Reference » Statement » Statement","id":"83","title":"Statement"},"84":{"body":"Assignment statement is variable = expression;. Unlike SystemVerilog, assignment operator is = in both always_comb and always_ff. There are other assignment operators: +=: addition assignment -=: subtraction assignment *=: multiplication assignment /=: division assignment %=: remainder assignment &=: bitwise AND assignment |=: bitwise OR assignment ^=: bitwise XOR assignment <<=: logical left shift assignment >>=: logical right shift assignment <<<=: arithmetic left shift assignment >>>=: arithmetic right shift assignment module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » Assignment » Assignment","id":"84","title":"Assignment"},"85":{"body":"Function call can be used as statement. In this case, the return value of function will be ignored. module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » Function Call » Function Call","id":"85","title":"Function Call"},"86":{"body":"if can be used as statement. The difference from if expression is that statements are placed in {}. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » If » If","id":"86","title":"If"},"87":{"body":"case can be used as statement. The right-hand of arm is statement. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » Case » Case","id":"87","title":"Case"},"88":{"body":"for statement represent repetition. Loop variable is placed before in keyword, and range is placed after it. break can be used to break the loop. module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » For » For","id":"88","title":"For"},"89":{"body":"return statement represents return from function. The expression after return keyword is the return value of the function. module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » Return » Return","id":"89","title":"Return"},"9":{"body":"Code generation through generics achieves more reusable code than traditional parameter override. Prarmeters in function like the follwoign example, but also module names of instantiation, type names of struct definition, and so on can be parameterized. SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"Features » Generics","id":"9","title":"Generics"},"90":{"body":"let statement represents a name bound to a value. It can be used in always_ff, always_comb and function declaration. let statement can be placed anywhere in block. module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » Let » Let","id":"90","title":"Let"},"91":{"body":"In this chapter, we'll discuss about declaration.","breadcrumbs":"Language Reference » Declaration » Declaration","id":"91","title":"Declaration"},"92":{"body":"Variable declaration is started by var keyword. After var, variable name, :, and the type of the variable are followed. If there are unused variables, warning will be occured. Variable name starting with _ means unused variable, and suppresses the warning. If you want to bind a value to a name at the declaration, let can be used instead of var. module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » Variable » Variable","id":"92","title":"Variable"},"93":{"body":"Parameter can be declarated as the same as variable. param keyword can be used at module header, it can be overridden at instantiation. local keyword can be used in module, it can't be overridden. module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » Parameter » Parameter","id":"93","title":"Parameter"},"94":{"body":"If a variable is assigned in always_ff declaration, it becomes register variable. Register variable will be mapped to flip-flop in synthesis phase. always_ff has mandatory clock variable, optional reset variable, and {} block. Clock and reset are placed in (). The specified clock and reset should have clock / reset type and the witdh of them should be 1bit. if_reset is a special keyword which can be used in always_ff. It means reset condition of the register variable. If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml. module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » Register » Register","id":"94","title":"Register"},"95":{"body":"If a variable is assigned in always_comb declaration, it means combinational circuit. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » Combinational » Combinational","id":"95","title":"Combinational"},"96":{"body":"assign declaration can assign expression to variable. module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » Assign » Assign","id":"96","title":"Assign"},"97":{"body":"Function can be declared by function keyword. Arguments are placed in () and return type is placed after ->. If function doesn't have a return value, -> can be omitted. module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » Function » Function","id":"97","title":"Function"},"98":{"body":"Statements in initial are executed at the beginning of simulation, final is the end. Both will be ignored logical synthesis, and can be used as debug or assertion. module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » Initial / Final » Initial / Final","id":"98","title":"Initial / Final"},"99":{"body":"Attribute can annotate some declarations like variable declaration.","breadcrumbs":"Language Reference » Declaration » Attribute » Attribute","id":"99","title":"Attribute"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"71":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.23606797749979},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.0},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.0},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.0},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.0}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.0},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.7320508075688772},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.449489742783178},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"85":{"tf":1.0},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":14,"docs":{"11":{"tf":2.23606797749979},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.1622776601683795},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.23606797749979}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":34,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.7320508075688772},"34":{"tf":2.8284271247461903},"35":{"tf":2.449489742783178},"36":{"tf":2.23606797749979},"42":{"tf":2.0},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":1.7320508075688772},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.0},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.449489742783178},"47":{"tf":2.23606797749979}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":4,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.4142135623730951},"4":{"tf":1.0},"76":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":26,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.0},"146":{"tf":1.4142135623730951},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.0},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":6,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"71":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":25,"docs":{"101":{"tf":1.4142135623730951},"104":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"99":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":9,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":1.7320508075688772},"141":{"tf":3.1622776601683795},"142":{"tf":2.23606797749979},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.4142135623730951},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.4142135623730951},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":3,"docs":{"119":{"tf":1.7320508075688772},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":10,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.0},"148":{"tf":2.6457513110645907},"149":{"tf":2.23606797749979},"150":{"tf":1.4142135623730951},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.0},"31":{"tf":1.4142135623730951},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.23606797749979},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.23606797749979},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"119":{"tf":1.4142135623730951},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":13,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.23606797749979},"4":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":18,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":1.7320508075688772},"74":{"tf":1.0},"77":{"tf":1.4142135623730951},"78":{"tf":2.6457513110645907},"79":{"tf":1.0},"82":{"tf":1.7320508075688772},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":6,"docs":{"123":{"tf":1.0},"161":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.4142135623730951},"6":{"tf":1.0},"8":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"129":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.0}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.23606797749979}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.4142135623730951},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":1.7320508075688772},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.449489742783178}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":1.7320508075688772},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":1.7320508075688772}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.4142135623730951},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.0}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.1622776601683795},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":1.7320508075688772},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":6,"docs":{"22":{"tf":1.0},"23":{"tf":1.4142135623730951},"25":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.4142135623730951},"54":{"tf":1.4142135623730951},"62":{"tf":2.449489742783178}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":12,"docs":{"110":{"tf":1.0},"113":{"tf":1.4142135623730951},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.0}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.449489742783178},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.0},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.4142135623730951}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":11,"docs":{"0":{"tf":1.4142135623730951},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"147":{"tf":2.0},"149":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"137":{"tf":1.0},"153":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":1.7320508075688772}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.4142135623730951}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.0},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":1.4142135623730951},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":1.7320508075688772},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.4142135623730951},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.4142135623730951},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.4142135623730951},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":5,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":5,"docs":{"101":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"54":{"tf":1.4142135623730951},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":1.7320508075688772},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.0},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.7320508075688772},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":1.7320508075688772},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.23606797749979},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.4142135623730951},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":1.7320508075688772},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.0},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.4142135623730951},"63":{"tf":2.0}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":25,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.1622776601683795},"121":{"tf":1.4142135623730951},"122":{"tf":1.0},"123":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"134":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":1.4142135623730951},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.23606797749979},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":1.7320508075688772},"144":{"tf":3.605551275463989},"145":{"tf":2.23606797749979},"146":{"tf":2.0},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.4142135623730951},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.23606797749979},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"164":{"tf":1.0},"41":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.0}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.0},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.0},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.6457513110645907},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"94":{"tf":2.8284271247461903}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.0},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":4,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.0},"55":{"tf":1.4142135623730951},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":8,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":2.6457513110645907},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":5,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.0},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":3,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":8,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":1.4142135623730951},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":1.7320508075688772},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.4142135623730951},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.4142135623730951},"64":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.4142135623730951},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":4,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.0},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.23606797749979},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":2.8284271247461903},"120":{"tf":1.4142135623730951},"138":{"tf":1.7320508075688772},"155":{"tf":2.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":1.7320508075688772}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.0}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":1.4142135623730951},"59":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951},"64":{"tf":1.4142135623730951},"65":{"tf":3.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.449489742783178},"71":{"tf":1.0},"72":{"tf":3.1622776601683795},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.449489742783178}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.0}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"66":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":2.6457513110645907},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.23606797749979},"141":{"tf":2.23606797749979},"143":{"tf":3.872983346207417},"144":{"tf":1.7320508075688772},"145":{"tf":2.23606797749979},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":1.7320508075688772},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.0}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.7320508075688772}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.0}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.7320508075688772},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.7320508075688772},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.449489742783178}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":28,"docs":{"166":{"tf":1.7320508075688772},"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":2.0},"70":{"tf":1.0},"71":{"tf":2.449489742783178}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.449489742783178},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.242640687119285},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.449489742783178},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.449489742783178},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":2.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.23606797749979}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.4142135623730951}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.4142135623730951},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":2.0},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.449489742783178},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":2.23606797749979},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":2.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":7,"docs":{"59":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.6457513110645907},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":2.0}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"85":{"tf":1.0},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":10,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":14,"docs":{"11":{"tf":2.449489742783178},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.23606797749979}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":38,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":2.0},"34":{"tf":3.0},"35":{"tf":2.6457513110645907},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.449489742783178},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":2.0}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":2.0},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.23606797749979},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.6457513110645907},"47":{"tf":2.449489742783178}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":6,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.7320508075688772},"162":{"tf":1.0},"163":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.7320508075688772},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.7320508075688772},"4":{"tf":1.0},"76":{"tf":2.0}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":40,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.7320508075688772},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.4142135623730951},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.4142135623730951},"146":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.0},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":16,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":2.0},"59":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.4142135623730951},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":29,"docs":{"100":{"tf":1.0},"101":{"tf":1.7320508075688772},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":2.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":2.0},"112":{"tf":2.0},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":2.0},"92":{"tf":1.7320508075688772},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951},"98":{"tf":1.0},"99":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":12,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":2.0},"141":{"tf":3.4641016151377544},"142":{"tf":2.6457513110645907},"143":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":2.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.7320508075688772},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.7320508075688772},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":49,"docs":{"119":{"tf":2.23606797749979},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":11,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.449489742783178},"148":{"tf":3.0},"149":{"tf":2.6457513110645907},"150":{"tf":2.0},"151":{"tf":1.0},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.23606797749979},"164":{"tf":2.449489742783178},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.449489742783178}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.4142135623730951},"3":{"tf":1.0},"31":{"tf":1.7320508075688772},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.449489742783178},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.449489742783178},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.449489742783178},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":48,"docs":{"119":{"tf":2.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":27,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":17,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"40":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":22,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.7320508075688772},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":2.23606797749979},"74":{"tf":1.4142135623730951},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.7320508075688772},"78":{"tf":2.8284271247461903},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":2.0},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":21,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"12":{"tf":1.0},"123":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":2.0},"5":{"tf":1.0},"6":{"tf":1.4142135623730951},"7":{"tf":1.0},"8":{"tf":1.4142135623730951},"9":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.4142135623730951},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"129":{"tf":1.7320508075688772},"130":{"tf":1.7320508075688772},"131":{"tf":1.7320508075688772},"132":{"tf":1.7320508075688772},"133":{"tf":1.7320508075688772},"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":2.0},"63":{"tf":2.23606797749979}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.4142135623730951},"112":{"tf":1.4142135623730951}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.449489742783178}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.7320508075688772},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.449489742783178},"85":{"tf":2.23606797749979},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.8284271247461903}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":2.23606797749979},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.3166247903554},"115":{"tf":1.7320508075688772},"116":{"tf":1.7320508075688772},"117":{"tf":1.7320508075688772},"118":{"tf":1.7320508075688772},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":2.0}}}}},"t":{"df":14,"docs":{"22":{"tf":1.7320508075688772},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.7320508075688772},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":2.0},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.0}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.4641016151377544},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":2.0},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":10,"docs":{"22":{"tf":1.0},"23":{"tf":2.0},"24":{"tf":1.0},"25":{"tf":1.7320508075688772},"26":{"tf":1.0},"27":{"tf":1.7320508075688772},"28":{"tf":1.0},"29":{"tf":1.4142135623730951},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.7320508075688772},"54":{"tf":1.7320508075688772},"62":{"tf":2.6457513110645907}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":14,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":2.0},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.8284271247461903},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.449489742783178},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.7320508075688772},"2":{"tf":1.7320508075688772}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}},"t":{"df":4,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"2":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":85,"docs":{"0":{"tf":1.7320508075688772},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":2.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":5,"docs":{"147":{"tf":2.449489742783178},"148":{"tf":1.0},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"151":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":15,"docs":{"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.449489742783178}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.7320508075688772},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"137":{"tf":1.0},"153":{"tf":1.7320508075688772},"154":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":2.23606797749979}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.449489742783178},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.4142135623730951}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":2.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":2.0},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.7320508075688772},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.7320508075688772},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":10,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.7320508075688772},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":5,"docs":{"101":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"54":{"tf":1.4142135623730951},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":2.0},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.449489742783178},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":2.23606797749979},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":2.0},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.6457513110645907},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":2.23606797749979},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.23606797749979},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.23606797749979}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":39,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.4641016151377544},"121":{"tf":2.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.7320508075688772},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.4142135623730951},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":2.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.449489742783178},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":2.0},"144":{"tf":3.872983346207417},"145":{"tf":2.449489742783178},"146":{"tf":2.23606797749979},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.6457513110645907},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":79,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"164":{"tf":1.0},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.449489742783178}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.23606797749979},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.23606797749979},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.4142135623730951},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.8284271247461903},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.7416573867739413},"94":{"tf":2.8284271247461903}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.8284271247461903},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.7320508075688772},"128":{"tf":1.7320508075688772},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.7320508075688772},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.7320508075688772},"155":{"tf":1.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.7320508075688772},"158":{"tf":1.7320508075688772},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.449489742783178},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":29,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.4142135623730951},"55":{"tf":1.7320508075688772},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":9,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":3.0},"156":{"tf":2.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":5,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.4142135623730951}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.23606797749979},"149":{"tf":1.4142135623730951},"150":{"tf":1.4142135623730951},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":2.0},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":2.0}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":3,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":21,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":2.23606797749979},"84":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"88":{"tf":1.4142135623730951},"89":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.23606797749979},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.7320508075688772},"64":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":2.0},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":18,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.7320508075688772},"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.23606797749979},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":2.0},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.23606797749979},"148":{"tf":1.0},"149":{"tf":2.449489742783178},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":3.1622776601683795},"120":{"tf":1.4142135623730951},"138":{"tf":2.0},"155":{"tf":2.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":2.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.4142135623730951}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":2.0},"59":{"tf":2.0},"60":{"tf":2.23606797749979},"61":{"tf":2.23606797749979},"62":{"tf":2.23606797749979},"63":{"tf":2.23606797749979},"64":{"tf":2.23606797749979},"65":{"tf":3.605551275463989},"66":{"tf":2.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"69":{"tf":1.7320508075688772},"70":{"tf":2.8284271247461903},"71":{"tf":1.4142135623730951},"72":{"tf":3.3166247903554},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.6457513110645907}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.4142135623730951}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":5,"docs":{"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":3.0},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.7320508075688772},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.449489742783178},"141":{"tf":2.23606797749979},"143":{"tf":4.0},"144":{"tf":1.7320508075688772},"145":{"tf":2.449489742783178},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":2.0},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"11":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"57":{"tf":1.0},"71":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"13":{"tf":1.0},"84":{"tf":1.0},"96":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"100":{"tf":1.0},"99":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}},"o":{"df":1,"docs":{"6":{"tf":1.0}}}}}},"b":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":1,"docs":{"17":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"26":{"tf":1.0}}}}},"df":0,"docs":{}},"t":{"df":3,"docs":{"53":{"tf":1.0},"55":{"tf":1.0},"79":{"tf":1.0}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"103":{"tf":1.0},"20":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":2,"docs":{"128":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"145":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":2,"docs":{"75":{"tf":1.0},"85":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"25":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":5,"docs":{"29":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"95":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"10":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"161":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"13":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"15":{"tf":1.0},"76":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"146":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":3,"docs":{"58":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"91":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"66":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":4,"docs":{"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":2,"docs":{"0":{"tf":1.0},"125":{"tf":1.0}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":4,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"47":{"tf":1.0}}}}}}}},"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":1,"docs":{"26":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"28":{"tf":1.0},"31":{"tf":1.0}}}}}}},"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"14":{"tf":1.0},"68":{"tf":1.0}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"168":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"16":{"tf":1.0},"73":{"tf":1.0}}}}}}}}},"f":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":13,"docs":{"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"110":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}},"t":{"df":2,"docs":{"136":{"tf":1.0},"6":{"tf":1.0}},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"115":{"tf":1.0},"75":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":7,"docs":{"101":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"9":{"tf":1.0}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"23":{"tf":1.0},"25":{"tf":1.0}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":2,"docs":{"102":{"tf":1.0},"38":{"tf":1.0}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":3,"docs":{"52":{"tf":1.0},"54":{"tf":1.0},"62":{"tf":1.0}},"r":{"df":4,"docs":{"110":{"tf":1.0},"113":{"tf":1.0},"28":{"tf":1.0},"7":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.0}}}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":4,"docs":{"0":{"tf":1.0},"110":{"tf":1.0},"160":{"tf":1.0},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"153":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"57":{"tf":1.0}}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"8":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":2,"docs":{"105":{"tf":1.0},"37":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":3,"docs":{"103":{"tf":1.0},"122":{"tf":1.0},"20":{"tf":1.0}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"51":{"tf":1.0}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"13":{"tf":1.0},"50":{"tf":1.0},"74":{"tf":1.0}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"107":{"tf":1.0},"117":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"163":{"tf":1.0},"93":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"144":{"tf":1.0},"33":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":2,"docs":{"139":{"tf":1.0},"144":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"41":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":2,"docs":{"143":{"tf":1.0},"24":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":12,"docs":{"121":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"79":{"tf":1.0}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"168":{"tf":1.0}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"160":{"tf":1.0}}}}}},"t":{"df":2,"docs":{"53":{"tf":1.0},"55":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"155":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":2,"docs":{"148":{"tf":1.0},"149":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"19":{"tf":1.0},"83":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":3,"docs":{"163":{"tf":1.0},"49":{"tf":1.0},"64":{"tf":1.0}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"118":{"tf":1.0},"67":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"42":{"tf":1.0},"43":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"x":{"df":2,"docs":{"1":{"tf":1.0},"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"132":{"tf":1.0},"149":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":4,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"156":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"5":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":9,"docs":{"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.4142135623730951},"66":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"69":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"66":{"tf":1.0}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"92":{"tf":1.0}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"109":{"tf":1.0},"21":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"25":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}} \ No newline at end of file +{"doc_urls":["01_introduction.html#the-veryl-hardware-description-language","01_introduction.html#optimized-syntax","01_introduction.html#interoperability","01_introduction.html#productivity","02_features.html#features","02_features.html#real-time-diagnostics","02_features.html#auto-formatting","02_features.html#integrated-test","02_features.html#dependency-management","02_features.html#generics","02_features.html#trailing-comma","02_features.html#abstraction-of-clock-and-reset","02_features.html#documentation-comment","02_features.html#compound-assignment-operator-in-always_ff","02_features.html#individual-namespace-of-enum-variant","02_features.html#repeat-of-concatenation","02_features.html#if--case-expression","02_features.html#range-based-for--inside--outside","02_features.html#msb-notation","02_features.html#let-statement","02_features.html#named-block","02_features.html#visibility-control","03_getting_started.html#getting-started","03_getting_started/01_installation.html#installation","03_getting_started/01_installation.html#requirement","03_getting_started/01_installation.html#choose-a-way-of-installation","03_getting_started/01_installation.html#download-binary","03_getting_started/01_installation.html#cargo","03_getting_started/01_installation.html#editor-integration","03_getting_started/01_installation.html#visual-studio-code","03_getting_started/01_installation.html#vim--neovim","03_getting_started/01_installation.html#other-editors","03_getting_started/02_hello_world.html#hello-world","03_getting_started/02_hello_world.html#create-project","03_getting_started/02_hello_world.html#write-code","03_getting_started/02_hello_world.html#build-code","04_code_examples.html#code-examples","04_code_examples/01_module.html#module","04_code_examples/02_instantiation.html#instantiation","04_code_examples/03_interface.html#interface","04_code_examples/04_package.html#package","05_language_reference.html#language-reference","05_language_reference/01_source_code_structure.html#source-code-structure","05_language_reference/02_lexical_structure.html#lexical-structure","05_language_reference/02_lexical_structure.html#encoding","05_language_reference/02_lexical_structure.html#white-space","05_language_reference/02_lexical_structure.html#comment","05_language_reference/02_lexical_structure.html#documentation-comment","05_language_reference/02_lexical_structure.html#identifier","05_language_reference/02_lexical_structure.html#string","05_language_reference/02_lexical_structure/01_operator.html#operator","05_language_reference/02_lexical_structure/02_number.html#number","05_language_reference/02_lexical_structure/02_number.html#integer","05_language_reference/02_lexical_structure/02_number.html#set-all-bits","05_language_reference/02_lexical_structure/02_number.html#widthless-integer","05_language_reference/02_lexical_structure/02_number.html#set-sized-bits","05_language_reference/02_lexical_structure/02_number.html#floating-point","05_language_reference/02_lexical_structure/03_array_literal.html#array-literal","05_language_reference/03_data_type.html#data-type","05_language_reference/03_data_type/01_builtin_type.html#builtin-type","05_language_reference/03_data_type/01_builtin_type.html#4-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#2-state-data-type-which-has-variable-width","05_language_reference/03_data_type/01_builtin_type.html#integer-type","05_language_reference/03_data_type/01_builtin_type.html#floating-point-type","05_language_reference/03_data_type/01_builtin_type.html#string-type","05_language_reference/03_data_type/01_builtin_type.html#type-type","05_language_reference/03_data_type/02_user_defined_type.html#user-defined-type","05_language_reference/03_data_type/02_user_defined_type.html#struct","05_language_reference/03_data_type/02_user_defined_type.html#enum","05_language_reference/03_data_type/02_user_defined_type.html#union","05_language_reference/03_data_type/02_user_defined_type.html#typedef","05_language_reference/03_data_type/03_array.html#array","05_language_reference/03_data_type/04_clock_reset.html#clock--reset","05_language_reference/04_expression.html#expression","05_language_reference/04_expression/01_operator_precedence.html#operator-precedence","05_language_reference/04_expression/02_function_call.html#function-call","05_language_reference/04_expression/03_concatenation.html#concatenation","05_language_reference/04_expression/04_if.html#if","05_language_reference/04_expression/05_case.html#case","05_language_reference/04_expression/06_bit_select.html#bit-select","05_language_reference/04_expression/07_range.html#range","05_language_reference/04_expression/08_msb_lsb.html#msb--lsb","05_language_reference/04_expression/09_inside_outside.html#inside--outside","05_language_reference/05_statement.html#statement","05_language_reference/05_statement/01_assignment.html#assignment","05_language_reference/05_statement/02_function_call.html#function-call","05_language_reference/05_statement/03_if.html#if","05_language_reference/05_statement/04_case.html#case","05_language_reference/05_statement/05_for.html#for","05_language_reference/05_statement/06_return.html#return","05_language_reference/05_statement/07_let.html#let","05_language_reference/06_declaration.html#declaration","05_language_reference/06_declaration/01_variable.html#variable","05_language_reference/06_declaration/02_parameter.html#parameter","05_language_reference/06_declaration/03_register.html#register","05_language_reference/06_declaration/04_combinational.html#combinational","05_language_reference/06_declaration/05_assign.html#assign","05_language_reference/06_declaration/06_function.html#function","05_language_reference/06_declaration/07_initial_final.html#initial--final","05_language_reference/06_declaration/08_attribute.html#attribute","05_language_reference/06_declaration/08_attribute.html#sv-attribute","05_language_reference/06_declaration/09_generate.html#generate","05_language_reference/06_declaration/10_instantiation.html#instantiation","05_language_reference/06_declaration/11_named_block.html#named-block","05_language_reference/06_declaration/12_import_export.html#import--export","05_language_reference/07_module.html#module","05_language_reference/08_interface.html#interface","05_language_reference/09_package.html#package","05_language_reference/10_systemverilog_interoperation.html#systemverilog-interoperation","05_language_reference/11_visibility.html#visibility","05_language_reference/12_foreign_language_integration.html#foreign-language-integration","05_language_reference/12_foreign_language_integration.html#embed-declaration","05_language_reference/12_foreign_language_integration.html#include-declaration","05_language_reference/13_integrated_test.html#integrated-test","05_language_reference/14_generics.html#generics","05_language_reference/14_generics.html#generic-function","05_language_reference/14_generics.html#generic-moduleinterface","05_language_reference/14_generics.html#generic-package","05_language_reference/14_generics.html#generic-struct","06_development_environment.html#development-environment","06_development_environment/01_project_configuration.html#project-configuration","06_development_environment/01_project_configuration.html#the-project-section","06_development_environment/01_project_configuration.html#the-name-field","06_development_environment/01_project_configuration.html#the-version-field","06_development_environment/01_project_configuration.html#the-authors-field","06_development_environment/01_project_configuration.html#the-description-field","06_development_environment/01_project_configuration.html#the-license-field","06_development_environment/01_project_configuration.html#the-repository-field","06_development_environment/01_project_configuration.html#the-build-section","06_development_environment/01_project_configuration.html#the-clock_type-field","06_development_environment/01_project_configuration.html#the-reset_type-field","06_development_environment/01_project_configuration.html#the-filelist_type-field","06_development_environment/01_project_configuration.html#the-target-field","06_development_environment/01_project_configuration.html#the-implicit_parameter_types-field","06_development_environment/01_project_configuration.html#the-omit_project_prefix-field","06_development_environment/01_project_configuration.html#the-strip_comments-field","06_development_environment/01_project_configuration.html#the-format-section","06_development_environment/01_project_configuration.html#the-lint-section","06_development_environment/01_project_configuration.html#the-test-section","06_development_environment/01_project_configuration.html#the-publish-section","06_development_environment/01_project_configuration.html#the-dependencies-section","06_development_environment/02_dependencies.html#dependencies","06_development_environment/02_dependencies.html#usage-of-dependency","06_development_environment/02_dependencies.html#version-requirement","06_development_environment/03_publish_project.html#publish-project","06_development_environment/03_publish_project.html#version-bump","06_development_environment/03_publish_project.html#configuration","06_development_environment/04_directory_layout.html#directory-layout","06_development_environment/04_directory_layout.html#single-source-directory","06_development_environment/04_directory_layout.html#single-source-and-target-directory","06_development_environment/04_directory_layout.html#multi-source-directory","06_development_environment/04_directory_layout.html#about-gitignore","06_development_environment/05_formatter.html#formatter","06_development_environment/06_linter.html#linter","06_development_environment/06_linter.html#the-lintnaming-section","06_development_environment/07_simulator.html#simulator","06_development_environment/07_simulator.html#the-test-section","06_development_environment/07_simulator.html#the-testverilator-section","06_development_environment/07_simulator.html#the-testvcs-section","06_development_environment/07_simulator.html#the-testvivado-section","06_development_environment/08_language_server.html#language-server","06_development_environment/09_compatibility.html#compatibility","06_development_environment/09_compatibility.html#vivado","06_development_environment/09_compatibility.html#string-parameter","06_development_environment/10_documentation.html#documentation","06_development_environment/11_github_action.html#github-action","07_appendix.html#appendix","07_appendix/01_formal_syntax.html#formal-syntax","07_appendix/02_semantic_error.html#semantic-error","07_appendix/02_semantic_error.html#duplicated_identifier","07_appendix/02_semantic_error.html#invalid_allow","07_appendix/02_semantic_error.html#invalid_direction","07_appendix/02_semantic_error.html#invalid_identifier","07_appendix/02_semantic_error.html#invalid_lsb","07_appendix/02_semantic_error.html#invalid_msb","07_appendix/02_semantic_error.html#invalid_number_character","07_appendix/02_semantic_error.html#invalid_statement","07_appendix/02_semantic_error.html#invalid_system_function","07_appendix/02_semantic_error.html#mismatch_arity","07_appendix/02_semantic_error.html#mismatch_attribute_args","07_appendix/02_semantic_error.html#mismatch_type","07_appendix/02_semantic_error.html#missing_if_reset","07_appendix/02_semantic_error.html#missing_port","07_appendix/02_semantic_error.html#missing_reset_signal","07_appendix/02_semantic_error.html#missing_reset_statement","07_appendix/02_semantic_error.html#too_large_enum_variant","07_appendix/02_semantic_error.html#too_large_number","07_appendix/02_semantic_error.html#too_much_enum_variant","07_appendix/02_semantic_error.html#undefined_identifier","07_appendix/02_semantic_error.html#unknown_attribute","07_appendix/02_semantic_error.html#unknown_member","07_appendix/02_semantic_error.html#unknown_msb","07_appendix/02_semantic_error.html#unknown_port","07_appendix/02_semantic_error.html#unused_variable"],"index":{"documentStore":{"docInfo":{"0":{"body":10,"breadcrumbs":5,"title":4},"1":{"body":41,"breadcrumbs":3,"title":2},"10":{"body":38,"breadcrumbs":3,"title":2},"100":{"body":18,"breadcrumbs":6,"title":2},"101":{"body":25,"breadcrumbs":5,"title":1},"102":{"body":58,"breadcrumbs":5,"title":1},"103":{"body":17,"breadcrumbs":7,"title":2},"104":{"body":60,"breadcrumbs":7,"title":2},"105":{"body":84,"breadcrumbs":4,"title":1},"106":{"body":53,"breadcrumbs":4,"title":1},"107":{"body":24,"breadcrumbs":4,"title":1},"108":{"body":30,"breadcrumbs":6,"title":2},"109":{"body":35,"breadcrumbs":4,"title":1},"11":{"body":79,"breadcrumbs":4,"title":3},"110":{"body":0,"breadcrumbs":8,"title":3},"111":{"body":36,"breadcrumbs":7,"title":2},"112":{"body":19,"breadcrumbs":7,"title":2},"113":{"body":72,"breadcrumbs":6,"title":2},"114":{"body":82,"breadcrumbs":4,"title":1},"115":{"body":15,"breadcrumbs":5,"title":2},"116":{"body":17,"breadcrumbs":5,"title":2},"117":{"body":15,"breadcrumbs":5,"title":2},"118":{"body":33,"breadcrumbs":5,"title":2},"119":{"body":10,"breadcrumbs":4,"title":2},"12":{"body":38,"breadcrumbs":3,"title":2},"120":{"body":68,"breadcrumbs":6,"title":2},"121":{"body":8,"breadcrumbs":6,"title":2},"122":{"body":14,"breadcrumbs":6,"title":2},"123":{"body":29,"breadcrumbs":6,"title":2},"124":{"body":37,"breadcrumbs":6,"title":2},"125":{"body":7,"breadcrumbs":6,"title":2},"126":{"body":19,"breadcrumbs":6,"title":2},"127":{"body":10,"breadcrumbs":6,"title":2},"128":{"body":6,"breadcrumbs":6,"title":2},"129":{"body":18,"breadcrumbs":6,"title":2},"13":{"body":42,"breadcrumbs":5,"title":4},"130":{"body":25,"breadcrumbs":6,"title":2},"131":{"body":27,"breadcrumbs":6,"title":2},"132":{"body":36,"breadcrumbs":6,"title":2},"133":{"body":26,"breadcrumbs":6,"title":2},"134":{"body":13,"breadcrumbs":6,"title":2},"135":{"body":10,"breadcrumbs":6,"title":2},"136":{"body":9,"breadcrumbs":6,"title":2},"137":{"body":8,"breadcrumbs":6,"title":2},"138":{"body":10,"breadcrumbs":6,"title":2},"139":{"body":8,"breadcrumbs":6,"title":2},"14":{"body":33,"breadcrumbs":5,"title":4},"140":{"body":8,"breadcrumbs":6,"title":2},"141":{"body":65,"breadcrumbs":4,"title":1},"142":{"body":52,"breadcrumbs":5,"title":2},"143":{"body":85,"breadcrumbs":5,"title":2},"144":{"body":94,"breadcrumbs":6,"title":2},"145":{"body":53,"breadcrumbs":6,"title":2},"146":{"body":36,"breadcrumbs":5,"title":1},"147":{"body":20,"breadcrumbs":6,"title":2},"148":{"body":61,"breadcrumbs":7,"title":3},"149":{"body":39,"breadcrumbs":8,"title":4},"15":{"body":29,"breadcrumbs":3,"title":2},"150":{"body":40,"breadcrumbs":7,"title":3},"151":{"body":17,"breadcrumbs":5,"title":1},"152":{"body":32,"breadcrumbs":4,"title":1},"153":{"body":24,"breadcrumbs":4,"title":1},"154":{"body":430,"breadcrumbs":5,"title":2},"155":{"body":36,"breadcrumbs":4,"title":1},"156":{"body":18,"breadcrumbs":5,"title":2},"157":{"body":20,"breadcrumbs":5,"title":2},"158":{"body":20,"breadcrumbs":5,"title":2},"159":{"body":26,"breadcrumbs":5,"title":2},"16":{"body":40,"breadcrumbs":3,"title":2},"160":{"body":30,"breadcrumbs":6,"title":2},"161":{"body":13,"breadcrumbs":4,"title":1},"162":{"body":0,"breadcrumbs":4,"title":1},"163":{"body":20,"breadcrumbs":5,"title":2},"164":{"body":125,"breadcrumbs":4,"title":1},"165":{"body":106,"breadcrumbs":6,"title":2},"166":{"body":0,"breadcrumbs":2,"title":1},"167":{"body":2256,"breadcrumbs":5,"title":2},"168":{"body":0,"breadcrumbs":5,"title":2},"169":{"body":0,"breadcrumbs":4,"title":1},"17":{"body":42,"breadcrumbs":5,"title":4},"170":{"body":0,"breadcrumbs":4,"title":1},"171":{"body":0,"breadcrumbs":4,"title":1},"172":{"body":0,"breadcrumbs":4,"title":1},"173":{"body":0,"breadcrumbs":4,"title":1},"174":{"body":0,"breadcrumbs":4,"title":1},"175":{"body":0,"breadcrumbs":4,"title":1},"176":{"body":0,"breadcrumbs":4,"title":1},"177":{"body":0,"breadcrumbs":4,"title":1},"178":{"body":0,"breadcrumbs":4,"title":1},"179":{"body":0,"breadcrumbs":4,"title":1},"18":{"body":31,"breadcrumbs":3,"title":2},"180":{"body":0,"breadcrumbs":4,"title":1},"181":{"body":0,"breadcrumbs":4,"title":1},"182":{"body":0,"breadcrumbs":4,"title":1},"183":{"body":0,"breadcrumbs":4,"title":1},"184":{"body":0,"breadcrumbs":4,"title":1},"185":{"body":0,"breadcrumbs":4,"title":1},"186":{"body":0,"breadcrumbs":4,"title":1},"187":{"body":0,"breadcrumbs":4,"title":1},"188":{"body":0,"breadcrumbs":4,"title":1},"189":{"body":0,"breadcrumbs":4,"title":1},"19":{"body":35,"breadcrumbs":2,"title":1},"190":{"body":0,"breadcrumbs":4,"title":1},"191":{"body":0,"breadcrumbs":4,"title":1},"192":{"body":0,"breadcrumbs":4,"title":1},"193":{"body":0,"breadcrumbs":4,"title":1},"2":{"body":27,"breadcrumbs":2,"title":1},"20":{"body":13,"breadcrumbs":3,"title":2},"21":{"body":42,"breadcrumbs":3,"title":2},"22":{"body":11,"breadcrumbs":4,"title":2},"23":{"body":10,"breadcrumbs":4,"title":1},"24":{"body":9,"breadcrumbs":4,"title":1},"25":{"body":0,"breadcrumbs":6,"title":3},"26":{"body":6,"breadcrumbs":5,"title":2},"27":{"body":7,"breadcrumbs":4,"title":1},"28":{"body":7,"breadcrumbs":5,"title":2},"29":{"body":28,"breadcrumbs":6,"title":3},"3":{"body":46,"breadcrumbs":2,"title":1},"30":{"body":23,"breadcrumbs":5,"title":2},"31":{"body":11,"breadcrumbs":4,"title":1},"32":{"body":0,"breadcrumbs":6,"title":2},"33":{"body":39,"breadcrumbs":6,"title":2},"34":{"body":60,"breadcrumbs":6,"title":2},"35":{"body":64,"breadcrumbs":6,"title":2},"36":{"body":66,"breadcrumbs":4,"title":2},"37":{"body":125,"breadcrumbs":4,"title":1},"38":{"body":100,"breadcrumbs":4,"title":1},"39":{"body":63,"breadcrumbs":4,"title":1},"4":{"body":47,"breadcrumbs":2,"title":1},"40":{"body":26,"breadcrumbs":4,"title":1},"41":{"body":6,"breadcrumbs":4,"title":2},"42":{"body":34,"breadcrumbs":8,"title":3},"43":{"body":10,"breadcrumbs":6,"title":2},"44":{"body":6,"breadcrumbs":5,"title":1},"45":{"body":10,"breadcrumbs":6,"title":2},"46":{"body":17,"breadcrumbs":5,"title":1},"47":{"body":14,"breadcrumbs":6,"title":2},"48":{"body":19,"breadcrumbs":5,"title":1},"49":{"body":7,"breadcrumbs":5,"title":1},"5":{"body":29,"breadcrumbs":4,"title":3},"50":{"body":95,"breadcrumbs":6,"title":1},"51":{"body":0,"breadcrumbs":6,"title":1},"52":{"body":15,"breadcrumbs":6,"title":1},"53":{"body":10,"breadcrumbs":7,"title":2},"54":{"body":29,"breadcrumbs":7,"title":2},"55":{"body":24,"breadcrumbs":8,"title":3},"56":{"body":9,"breadcrumbs":7,"title":2},"57":{"body":35,"breadcrumbs":8,"title":2},"58":{"body":5,"breadcrumbs":6,"title":2},"59":{"body":0,"breadcrumbs":8,"title":2},"6":{"body":18,"breadcrumbs":3,"title":2},"60":{"body":31,"breadcrumbs":12,"title":6},"61":{"body":29,"breadcrumbs":12,"title":6},"62":{"body":32,"breadcrumbs":8,"title":2},"63":{"body":25,"breadcrumbs":9,"title":3},"64":{"body":7,"breadcrumbs":8,"title":2},"65":{"body":23,"breadcrumbs":8,"title":2},"66":{"body":0,"breadcrumbs":10,"title":3},"67":{"body":31,"breadcrumbs":8,"title":1},"68":{"body":37,"breadcrumbs":8,"title":1},"69":{"body":39,"breadcrumbs":8,"title":1},"7":{"body":25,"breadcrumbs":3,"title":2},"70":{"body":23,"breadcrumbs":8,"title":1},"71":{"body":112,"breadcrumbs":6,"title":1},"72":{"body":131,"breadcrumbs":8,"title":2},"73":{"body":12,"breadcrumbs":4,"title":1},"74":{"body":30,"breadcrumbs":7,"title":2},"75":{"body":27,"breadcrumbs":7,"title":2},"76":{"body":28,"breadcrumbs":5,"title":1},"77":{"body":30,"breadcrumbs":3,"title":0},"78":{"body":54,"breadcrumbs":5,"title":1},"79":{"body":29,"breadcrumbs":7,"title":2},"8":{"body":23,"breadcrumbs":3,"title":2},"80":{"body":26,"breadcrumbs":5,"title":1},"81":{"body":30,"breadcrumbs":7,"title":2},"82":{"body":46,"breadcrumbs":7,"title":2},"83":{"body":9,"breadcrumbs":4,"title":1},"84":{"body":76,"breadcrumbs":5,"title":1},"85":{"body":14,"breadcrumbs":7,"title":2},"86":{"body":22,"breadcrumbs":3,"title":0},"87":{"body":32,"breadcrumbs":5,"title":1},"88":{"body":23,"breadcrumbs":3,"title":0},"89":{"body":18,"breadcrumbs":5,"title":1},"9":{"body":80,"breadcrumbs":2,"title":1},"90":{"body":47,"breadcrumbs":3,"title":0},"91":{"body":4,"breadcrumbs":4,"title":1},"92":{"body":62,"breadcrumbs":5,"title":1},"93":{"body":27,"breadcrumbs":5,"title":1},"94":{"body":102,"breadcrumbs":5,"title":1},"95":{"body":17,"breadcrumbs":5,"title":1},"96":{"body":11,"breadcrumbs":5,"title":1},"97":{"body":37,"breadcrumbs":5,"title":1},"98":{"body":20,"breadcrumbs":7,"title":2},"99":{"body":5,"breadcrumbs":5,"title":1}},"docs":{"0":{"body":"Veryl Veryl is a hardware description language based on SystemVerilog, providing the following advantages:","breadcrumbs":"Introduction » The Veryl Hardware Description Language","id":"0","title":"The Veryl Hardware Description Language"},"1":{"body":"Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.","breadcrumbs":"Introduction » Optimized Syntax","id":"1","title":"Optimized Syntax"},"10":{"body":"Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems. SystemVerilog\nVeryl module ModuleA ( input a, input b, output o\n);\nendmodule module ModuleA ( a: input logic, b: input logic, o: input logic,\n) {\n}","breadcrumbs":"Features » Trailing comma","id":"10","title":"Trailing comma"},"100":{"body":"SV attribute represents SystemVerilog attribute. It will be transpiled to SystemVerilog attribute (* *). module ModuleA { #[sv(\"ram_style=\\\"block\\\"\")] let _a: logic<10> = 1; #[sv(\"mark_debug=\\\"true\\\"\")] let _b: logic<10> = 1;\n}","breadcrumbs":"Language Reference » Declaration » Attribute » SV Attribute","id":"100","title":"SV Attribute"},"101":{"body":"Declaration can be generated by for and if. Label which is shown by : is required to idenfity the generated declarations. module ModuleA { var a: logic<10>; for i in 0..10 :label { if i >: 5 :label { assign a[i] = i + 2; } else { // label of else clause can be omit assign a[i] = i + 2; } }\n}","breadcrumbs":"Language Reference » Declaration » Generate » Generate","id":"101","title":"Generate"},"102":{"body":"inst keyword represents instantiation of modula and interface. The name of instance is placed after inst keyword, and the type of instance is placed after :. Parameter override is #(), and port connection is (). module ModuleA #( param paramA: u32 = 1,\n) { let a: logic<10> = 1; let b: logic<10> = 1; inst instB: ModuleB #( paramA , // Parameter assignment by name paramB: 10, ) ( a , // Port connection by name bb: b, );\n} module ModuleB #( param paramA: u32 = 1, param paramB: u32 = 1,\n) ( a : input logic<10>, bb: input logic<10>,\n) {}","breadcrumbs":"Language Reference » Declaration » Instantiation » Instantiation","id":"102","title":"Instantiation"},"103":{"body":"Label can be added to {} block. The named block has an individual namespace. module ModuleA { :labelA { let _a: logic<10> = 1; } :labelB { let _a: logic<10> = 1; }\n}","breadcrumbs":"Language Reference » Declaration » Named Block » Named Block","id":"103","title":"Named Block"},"104":{"body":"import declaration imports symbols from other packages. It can be placed at the top level or as a module/interface/package item. Wildcard pattern like package::* can be used as an argument of import declaration. // file scope import\nimport $sv::SvPackage::*; module ModuleA { import PackageA::*; import PackageA::paramA;\n} package PackageA { local paramA: u32 = 1;\n} export declaration exports symbols from the package to other. export * represents to export all symbols. package PackageA { local paramA: u32 = 1;\n} package PackageB { import PackageA::*; export paramA;\n} package PackageC { import PackageA::*; export *;\n}","breadcrumbs":"Language Reference » Declaration » Import / Export » Import / Export","id":"104","title":"Import / Export"},"105":{"body":"Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic. Overridable parameters can be declared in #(). Each parameter declaration is started by param keyword. After the keyword, an identifier, :, the type of the parameter, and a default value are placed. Connection ports can be declared in (). Each port declaration is constructed by an identifier, :, port direction, and the type of the port. The available port directions are: input: input port output: output port inout: bi-directional port modport: modport of interface module ModuleA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) ( a: input logic, b: input logic, c: input logic, x: output logic,\n) { always_comb { if c { x = a; } else { x = b; } }\n}","breadcrumbs":"Language Reference » Module » Module","id":"105","title":"Module"},"106":{"body":"Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions. Overridable parameters are the same as them of module. In interface definitions, modport can be declared. modport can be used as bundled port connection at the port declaration of module. interface InterfaceA #( param ParamA: u32 = 0, param ParamB: u32 = 0,\n) { var a: logic; var b: logic; modport master { a: output, b: input , } modport slave { b: input , a: output, }\n}","breadcrumbs":"Language Reference » Interface » Interface","id":"106","title":"Interface"},"107":{"body":"Package is one of top level components in source code. Package can organize some declarations like parameter and function. To access an item in a package, :: symbol can be used like PackageA::ParamA. package PackageA { local ParamA: u32 = 0;\n}","breadcrumbs":"Language Reference » Package » Package","id":"107","title":"Package"},"108":{"body":"If you want to access to items of SystemVerilog, $sv namespace can be used. For example, \"ModuleA\" in SystemVerilog source code can be accessed by $sv::ModuleA. Veryl don't check the existence of the items. module ModuleA { let _a: logic = $sv::PackageA::ParamA; inst b: $sv::ModuleB; inst c: $sv::InterfaceC;\n}","breadcrumbs":"Language Reference » SystemVerilog Interoperation » SystemVerilog Interoperation","id":"108","title":"SystemVerilog Interoperation"},"109":{"body":"By default, all top level items of a project (module, interface and package) are private. The \"private\" means they are not visible from other project. pub keyword can be used to specify an item as public to other project. veryl doc will generate documents of public items only. pub module ModuleA {} pub interface InterfaceA {} pub package PackageA {}","breadcrumbs":"Language Reference » Visibility » Visibility","id":"109","title":"Visibility"},"11":{"body":"There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code. Additionally, explicit clock and reset type enables to check whether clock and reset are correctly connected to registers. If there is a single clock and reset in the module, the connection can be omitted. SystemVerilog\nVeryl module ModuleA ( input logic i_clk, input logic i_rst_n\n); always_ff @ (posedge i_clk or negedge i_rst_n) begin if (!i_rst_n) begin end else begin end\nend endmodule module ModuleA ( i_clk: input clock, i_rst: input reset,\n){ always_ff { if_reset { } else { } }\n}","breadcrumbs":"Features » Abstraction of clock and reset","id":"11","title":"Abstraction of clock and reset"},"110":{"body":"","breadcrumbs":"Language Reference » Foreign Language Integration » Foreign Language Integration","id":"110","title":"Foreign Language Integration"},"111":{"body":"embed declaration can embed the code of foreign languages. The first argument of embed declaration shows the way of embedding. The following ways are supported: inline: expand the code as is The code block are started by lang{{{ and ended by }}}. The following lang specifiers are supported: sv: SystemVerilog embed (inline) sv{{{ module ModuleSv; endmodule\n}}}","breadcrumbs":"Language Reference » Foreign Language Integration » embed declaration","id":"111","title":"embed declaration"},"112":{"body":"include declaration can include a file of foreign languages. The first argument is the same as embed declaration, and the second is a relative file path from the source code. include(inline, \"module.sv\");","breadcrumbs":"Language Reference » Foreign Language Integration » include declaration","id":"112","title":"include declaration"},"113":{"body":"Integrated test can be marked by #[test(test_name)] attribute. The marked block will be identified as test, and executed through veryl test command. The top level module of the block must have the same name as the test name. The messages through $info, $warning, $error and $fatal system function are handled by Veryl compiler, and shown as exectution log. The calls of $error and $fatal are treated as test failure. The following example, a SystemVerilog source code embeded by embed declaration are marked as test. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}} About RTL simulator used by veryl test, see Simulator .","breadcrumbs":"Language Reference » Integrated Test » Integrated Test","id":"113","title":"Integrated Test"},"114":{"body":"Generics can define parameterized items which can't achieved by parameter override. The following items support generics: function module interface package struct union Each generic definition has generic parameters (often an uppercase letter is used like T) which can be placed as identifier or expression in the definition. Generic parameters are declarated after item's identifier with ::<>. At the usage of generics, actual parameters can be given through ::<>. As the actual parameters, numeric literal and identifier concatenated by :: can be used. Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can't be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.","breadcrumbs":"Language Reference » Generics » Generics","id":"114","title":"Generics"},"115":{"body":"module ModuleA { function FuncA:: ( a: input logic, ) -> logic { return a + 1; } let _a: logic<10> = FuncA::<10>(1); let _b: logic<20> = FuncA::<20>(1);\n}","breadcrumbs":"Language Reference » Generics » Generic Function","id":"115","title":"Generic Function"},"116":{"body":"module ModuleA { inst u0: ModuleB::; inst u1: ModuleB::;\n} module ModuleB:: { inst u: T;\n} module ModuleC {}\nmodule ModuleD {}","breadcrumbs":"Language Reference » Generics » Generic Module/Interface","id":"116","title":"Generic Module/Interface"},"117":{"body":"module ModuleA { local A: u32 = PackageA::<1>::X; local B: u32 = PackageA::<2>::X;\n} package PackageA:: { local X: u32 = T;\n}","breadcrumbs":"Language Reference » Generics » Generic Package","id":"117","title":"Generic Package"},"118":{"body":"module ModuleA { type TypeA = i32; struct StructA:: { A: T, } // local defined type can be used // because `TypeA` is accessible at the definition of `StructA` var _a: StructA:: ; var _b: StructA::; var _c: StructA::;\n} package PackageA { type TypeB = u32; type TypeC = u64;\n}","breadcrumbs":"Language Reference » Generics » Generic Struct","id":"118","title":"Generic Struct"},"119":{"body":"In this chapter, we'll discuss about development environment including project configuration and development tools.","breadcrumbs":"Development Environment » Development Environment","id":"119","title":"Development Environment"},"12":{"body":"Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also MarkDown format or waveform descriptions using WaveDrom . SystemVerilog\nVeryl // Comment\nmodule ModuleA;\nendmodule /// Documentation comment written by Markdown\n///\n/// * list\n/// * list\n/// /// ```wavedrom\n/// { signal: [{ name: \"Alfa\", wave: \"01.zx=ud.23.456789\" }] }\n/// ```\nmodule ModuleA {\n}","breadcrumbs":"Features » Documentation comment","id":"12","title":"Documentation comment"},"120":{"body":"[project] --- Defines a project. name --- The name of the project. version --- The version of the project. authors --- The authors of the project. description --- A description of the project. license --- The project license. repository --- URL of the project source repository. [build] --- Build settings. clock_type --- The type of clock. reset_type --- The type of reset. filelist_type --- The type of filelist. target --- The way of output. implicit_parameter_types --- Whether implicit parameter type is enabled. omit_project_prefix --- Whether omit project prefix. strip_comments --- Whether strip comments. [format] --- Format settings. [lint] --- Lint settings. [test] --- Test settings. [publish] --- Publish settings. [dependencies] --- Library dependencies.","breadcrumbs":"Development Environment » Project Configuration » Project Configuration","id":"120","title":"Project Configuration"},"121":{"body":"The first section of Veryl.toml is [project]. The mandatory fields are name and version.","breadcrumbs":"Development Environment » Project Configuration » The [project] section","id":"121","title":"The [project] section"},"122":{"body":"The project name is used as prefix in the generated codes. So the name must start with alphabet or _, and use only alphanumeric charaters or _.","breadcrumbs":"Development Environment » Project Configuration » The name field","id":"122","title":"The name field"},"123":{"body":"The project version should follow Semantic Versioning . The version is constructed by the following three numbers. Major -- increment at incompatible changes Minor -- increment at adding features with backward compatibility Patch -- increment at bug fixes with backward compatibility [project]\nversion = \"0.1.0\"","breadcrumbs":"Development Environment » Project Configuration » The version field","id":"123","title":"The version field"},"124":{"body":"The optional authors field lists in an array the people or organizations that are considered the \"authors\" of the project. The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used. [project]\nauthors = [\"Fnu Lnu\", \"anonymous@example.com\", \"Fnu Lnu \"]","breadcrumbs":"Development Environment » Project Configuration » The authors field","id":"124","title":"The authors field"},"125":{"body":"The description is a short blurb about the project. This should be plane text (not Markdown).","breadcrumbs":"Development Environment » Project Configuration » The description field","id":"125","title":"The description field"},"126":{"body":"The license field contains the name of license that the project is released under. The string should be follow SPDX 2.3 license expression . [project]\nlicense = \"MIT OR Apache-2.0\"","breadcrumbs":"Development Environment » Project Configuration » The license field","id":"126","title":"The license field"},"127":{"body":"The repository field should be a URL to the source repository for the project. [project]\nrepository = \"https://github.com/veryl-lang/veryl\"","breadcrumbs":"Development Environment » Project Configuration » The repository field","id":"127","title":"The repository field"},"128":{"body":"The [build] section contains the configurations of code generation.","breadcrumbs":"Development Environment » Project Configuration » The [build] section","id":"128","title":"The [build] section"},"129":{"body":"The clock_type field specifies which clock edge is used to drive flip-flop. The available types are below: posedge -- positive edge negedge -- negetive edge","breadcrumbs":"Development Environment » Project Configuration » The clock_type field","id":"129","title":"The clock_type field"},"13":{"body":"There is no dedicated non-blocking assignment operator; within always_ff, non-blocking assignments are inferred, while within always_comb, blocking assignments are inferred. Therefore, various compound assignment operators can be used within always_ff just like within always_comb. SystemVerilog\nVeryl always_ff @ (posedge i_clk) begin if (a) begin x <= x + 1; end\nend always_ff (i_clk) { if a { x += 1; }\n}","breadcrumbs":"Features » Compound assignment operator in always_ff","id":"13","title":"Compound assignment operator in always_ff"},"130":{"body":"The reset_type field specifies reset polarity and synchronisity. The available types are below: async_low -- asynchronous and active low async_high -- asynchronous and active high sync_low -- synchronous and active low sync_high -- synchronous and active high","breadcrumbs":"Development Environment » Project Configuration » The reset_type field","id":"130","title":"The reset_type field"},"131":{"body":"The filelist_type field specifies filelist format. The available types are below: absolute -- plane text filelist including absolute file paths relative -- plane text filelist including relative file paths flgen -- flgen filelist","breadcrumbs":"Development Environment » Project Configuration » The filelist_type field","id":"131","title":"The filelist_type field"},"132":{"body":"The target field specifies where the generated codes will be placed at. The available types are below: source -- as the same directory as the source code directory -- specified directory bundle -- specified file If you want to use directory or bundle, you should specify the target path by path key. [build]\ntarget = {type = \"directory\", path = \"[dst dir]\"}","breadcrumbs":"Development Environment » Project Configuration » The target field","id":"132","title":"The target field"},"133":{"body":"The implicit_parameter_types field lists the types which will be elided in parameter declaration of the generated codes. This is because some EDA tools don't support parameter declaration with specific types (ex.string). If you want to elide string, you can specify like below: [build]\nimplicit_parameter_types = [\"string\"]","breadcrumbs":"Development Environment » Project Configuration » The implicit_parameter_types field","id":"133","title":"The implicit_parameter_types field"},"134":{"body":"If omit_project_prefix is set to true, the project prefix of module/interface/package name will be omitted. This is false by default. [build]\nomit_project_prefix = true","breadcrumbs":"Development Environment » Project Configuration » The omit_project_prefix field","id":"134","title":"The omit_project_prefix field"},"135":{"body":"If strip_comments is set to true, all comments will be stripped. This is false by default. [build]\nstrip_comments = true","breadcrumbs":"Development Environment » Project Configuration » The strip_comments field","id":"135","title":"The strip_comments field"},"136":{"body":"The [format] section contains the configurations of code formatter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [format] section","id":"136","title":"The [format] section"},"137":{"body":"The [lint] section contains the configurations of linter. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [lint] section","id":"137","title":"The [lint] section"},"138":{"body":"The [test] section contains the configurations of test by RTL simulator. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [test] section","id":"138","title":"The [test] section"},"139":{"body":"The [publish] section contains the configurations of publishing. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [publish] section","id":"139","title":"The [publish] section"},"14":{"body":"Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions. SystemVerilog\nVeryl typedef enum logic[1:0] { MemberA, MemberB\n} EnumA; EnumA a;\nassign a = MemberA; enum EnumA: logic<2> { MemberA, MemberB\n} var a: EnumA;\nassign a = EnumA::MemberA;","breadcrumbs":"Features » Individual namespace of enum variant","id":"14","title":"Individual namespace of enum variant"},"140":{"body":"The [dependencies] section contains library dependencies. Available configurations is here .","breadcrumbs":"Development Environment » Project Configuration » The [dependencies] section","id":"140","title":"The [dependencies] section"},"141":{"body":"If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies] section in Veryl.toml. The left hand side of entry is path to the dependency, and the right hand side is version. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\" By default, the namespace of the dependency is the same as the project name of the dependency. If you want to specify namespace, you can use name field. [dependencies]\n\"https://github.com/veryl-lang/sample\" = {version = \"0.1.0\", name = \"veryl_sample_alt\"} If you want to use many versions of the same dependency path, you can specify each name. [dependencies]\n\"https://github.com/veryl-lang/sample\" = [ {version = \"0.1.0\", name = \"veryl_sample1\"}, {version = \"0.2.0\", name = \"veryl_sample2\"},\n]","breadcrumbs":"Development Environment » Dependencies » Dependencies","id":"141","title":"Dependencies"},"142":{"body":"After adding dependencies to Veryl.toml, you can use moudle, interface and package in the dependencies. The following example uses delay module in the veryl_sample dependency. module ModuleA ( i_clk: input clock, i_rst: input reset, i_d : input logic, o_d : output logic,\n) { inst u_delay: veryl_sample::delay ( i_clk, i_rst, i_d , o_d , );\n} Note: The result of play button in the above code is not exact because it doesn't use dependency resolution. Actually the module name becomes veryl_samlle_delay","breadcrumbs":"Development Environment » Dependencies » Usage of dependency","id":"142","title":"Usage of dependency"},"143":{"body":"The version field of [dependencies] section shows version requirement. For example, version = \"0.1.0\" means the latest version which has compatibility with 0.1.0. The compatibility is judged by Semantic Versioning . A version is constructed from the following three parts. MAJOR version when you make incompatible API changes MINOR version when you add functionality in a backwards compatible manner PATCH version when you make backwards compatible bug fixes If MAJOR version is 0, MINOR is interpreted as incompatible changes. If there are 0.1.0 and 0.1.1 and 0.2.0, 0.1.1 will be selected. This is because 0.1.0 is compatible with 0.1.0. 0.1.1 is compatible with 0.1.0. 0.2.0 is not compatible with 0.1.0. 0.1.1 is the latest in the compatible versions. The version field allows other version requirement reprensentation like =0.1.0. Please see version requirement of Rust for detailed information: Specifying Dependencies .","breadcrumbs":"Development Environment » Dependencies » Version Requirement","id":"143","title":"Version Requirement"},"144":{"body":"To publish your project, veryl publish can be used. Publising means to associate a version with a git revision. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub) veryl publish generates Veryl.pub which contains published version information like below. [[releases]]\nversion = \"0.2.1\"\nrevision = \"297bc6b24c5ceca9e648c3ea5e01011c67d7efe7\" After generating Veryl.pub, publishing sequence is completed by git add, commit and push. The git branch to be committed must be the default branch because Veryl search Veryl.pub in the default branch. $ git add Veryl.pub\n$ git commit -m \"Publish\"\n$ git push If you enable automatic commit by publish_commit in [publish] section of Veryl.toml, git add and commit will be executed after publish. $ veryl publish\n[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Publish Project","id":"144","title":"Publish Project"},"145":{"body":"You can bump version with publish at the same time by --bump option. As the same as publish, bump_commit in [publish] section of Veryl.toml can specify automatic commit after bump version. $ veryl publish --bump patch\n[INFO ] Bumping version (0.2.1 -> 0.2.2)\n[INFO ] Updating version field ([path to project]/Veryl.toml)\n[INFO ] Committing metadata ([path to project]/Veryl.toml)\n[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)\n[INFO ] Writing metadata ([path to project]/Veryl.pub)\n[INFO ] Committing metadata ([path to project]/Veryl.pub)","breadcrumbs":"Development Environment » Publish Project » Version Bump","id":"145","title":"Version Bump"},"146":{"body":"[publish]\nbump_commit = true\nbump_commit_message = \"Bump\" Configuration Value Default Description bump_commit boolean false automatic commit after bump publish_commit boolean false automatic commit after publish bump_commit_mesasge string \"chore: Bump version\" commit message after bump publish_commit_mesasge string \"chore: Publish\" commit message after publish","breadcrumbs":"Development Environment » Publish Project » Configuration","id":"146","title":"Configuration"},"147":{"body":"Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different. In this section, we suggest some directory layout patterns.","breadcrumbs":"Development Environment » Directory Layout » Directory Layout","id":"147","title":"Directory Layout"},"148":{"body":"This pattern contains all sources in src directory. In src, you can configure arbitrary sub directories. $ tree\n.\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n`-- Veryl.toml 2 directories, 4 files Veryl gathers all *.veryl files and generates codes at the same directory as the source by default. You can show the behaviour explicitly by the following configuration. [build]\ntarget = \"source\" After veryl build, the directory structure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.sv\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n`-- Veryl.toml 3 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source directory","id":"148","title":"Single source directory"},"149":{"body":"If you want to place the generated codes into a directory, you can use target configure in [build] section of Veryl.toml. [build]\ntarget = {type = \"directory\", path = \"target\"} The directory layout of this configure will become below: $ tree\n.\n|-- dependencies\n|-- prj.f\n|-- src\n| |-- module_a.veryl\n| `-- module_b\n| |-- module_b.veryl\n| `-- module_c.veryl\n|-- target\n| |-- module_a.sv\n| |-- module_b.sv\n| `-- module_c.sv\n`-- Veryl.toml 4 directories, 8 files","breadcrumbs":"Development Environment » Directory Layout » Single source and target directory","id":"149","title":"Single source and target directory"},"15":{"body":"By adopting the explicit repeat syntax as a repetition description in bit concatenation, readability improves over complex combinations of {}. SystemVerilog\nVeryl logic [31:0] a;\nassign a = {{2{X[9:0]}}, {12{Y}}}; var a: logic<32>;\nassign a = {X[9:0] repeat 2, Y repeat 12};","breadcrumbs":"Features » repeat of concatenation","id":"15","title":"repeat of concatenation"},"150":{"body":"If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure. $ tree\n.\n|-- dependencies\n|-- module_a\n| |-- module_a.sv\n| `-- module_a.veryl\n|-- module_b\n| |-- module_b.sv\n| |-- module_b.veryl\n| |-- module_c.sv\n| `-- module_c.veryl\n|-- prj.f\n|-- sv_module_x\n| `-- sv_module_x.sv\n|-- sv_module_y\n| `-- sv_module_y.sv\n`-- Veryl.toml 5 directories, 10 files The generated prj.f lists all generated files. So you can use it along with the existing SystemVerilog filelists.","breadcrumbs":"Development Environment » Directory Layout » Multi source directory","id":"150","title":"Multi source directory"},"151":{"body":"Veryl doesn't provide the default .gitignore. This is because which files should be ignored is different by each projects. The candidates of .gitignore is below: dependencies/ target/ *.sv *.f","breadcrumbs":"Development Environment » Directory Layout » About .gitignore","id":"151","title":"About .gitignore"},"152":{"body":"Source code can be formatted by veryl fmt command. Alternatively, language server support formatting through textDocument/formatting request. The available configurations are below. These can be specified in [format] section of Veryl.toml. [format]\nindent_width = 4 Configuration Value Description indent_width integer indent width by space","breadcrumbs":"Development Environment » Formatter » Formatter","id":"152","title":"Formatter"},"153":{"body":"Lint check is executed at veryl check or veryl build. Alternatively, language server checks lint in real time. The available configurations are below. These can be specified in [lint] section of Veryl.toml. [lint.naming]\ncase_enum = \"snake\"","breadcrumbs":"Development Environment » Linter » Linter","id":"153","title":"Linter"},"154":{"body":"This section contains configurations of naming conventions. Configuration Value Description case_enum case type [1] case style of enum case_function case type [1] case style of function case_instance case type [1] case style of instance case_interface case type [1] case style of interface case_modport case type [1] case style of modport case_module case type [1] case style of module case_package case type [1] case style of package case_parameter case type [1] case style of parameter case_port_inout case type [1] case style of inout port case_port_input case type [1] case style of input port case_port_modport case type [1] case style of modport port case_port_output case type [1] case style of output port case_reg case type [1] case style of register type variable [2] case_struct case type [1] case style of struct case_wire case type [1] case style of wire type variable [3] prefix_enum string prefix of enum prefix_function string prefix of function prefix_instance string prefix of instance prefix_interface string prefix of interface prefix_modport string prefix of modport prefix_module string prefix of module prefix_package string prefix of package prefix_parameter string prefix of parameter prefix_port_inout string prefix of inout port prefix_port_input string prefix of input port prefix_port_modport string prefix of modport port prefix_port_output string prefix of output port prefix_reg string prefix of register type variable [2] prefix_struct string prefix of struct prefix_wire string prefix of wire type variable [3] re_forbidden_enum regex [4] regex forbidden of enum re_forbidden_function regex [4] regex forbidden of function re_forbidden_instance regex [4] regex forbidden of instance re_forbidden_interface regex [4] regex forbidden of interface re_forbidden_modport regex [4] regex forbidden of modport re_forbidden_module regex [4] regex forbidden of module re_forbidden_package regex [4] regex forbidden of package re_forbidden_parameter regex [4] regex forbidden of parameter re_forbidden_port_inout regex [4] regex forbidden of inout port re_forbidden_port_input regex [4] regex forbidden of input port re_forbidden_port_modport regex [4] regex forbidden of modport port re_forbidden_port_output regex [4] regex forbidden of output port re_forbidden_reg regex [4] regex forbidden of register type variable [2] re_forbidden_struct regex [4] regex forbidden of struct re_forbidden_wire regex [4] regex forbidden of wire type variable [3] re_required_enum regex [4] regex required of enum re_required_function regex [4] regex required of function re_required_instance regex [4] regex required of instance re_required_interface regex [4] regex required of interface re_required_modport regex [4] regex required of modport re_required_module regex [4] regex required of module re_required_package regex [4] regex required of package re_required_parameter regex [4] regex required of parameter re_required_port_inout regex [4] regex required of inout port re_required_port_input regex [4] regex required of input port re_required_port_modport regex [4] regex required of modport port re_required_port_output regex [4] regex required of output port re_required_reg regex [4] regex required of register type variable [2] re_required_struct regex [4] regex required of struct re_required_wire regex [4] regex required of wire type variable [3] The available values are \"snake\" -- snake_case \"screaming_snake\" -- SCREAMING_SNAKE_CASE \"lower_camel\" -- lowerCamelCase \"upper_camel\" -- UpperCamelCase Regular expression string like \".*\". The available syntax is here . Register type means that the variable is assigned in always_ff. It will be mapped to flip-flop in synthesis phase. Wire type means that the variable is assigned in always_comb. It will be mapped to wire in synthesis phase.","breadcrumbs":"Development Environment » Linter » The [lint.naming] section","id":"154","title":"The [lint.naming] section"},"155":{"body":"Test by RTL simulator is executed through veryl test. Supported simulators are below: Verilator Synopsys VCS AMD Vivado Simulator Verilator is the default simulator. If no simulator is specified through Veryl.toml and commandline option, it will be used. The available configurations are below. These can be specified in [test] section of Veryl.toml. [test]\nsimulator = \"vcs\"","breadcrumbs":"Development Environment » Simulator » Simulator","id":"155","title":"Simulator"},"156":{"body":"This section contains configurations of test. Configuration Value Description simulator simulator name [1] default simulator The available values are \"verilator\" \"vcs\" \"vivado\"","breadcrumbs":"Development Environment » Simulator » The [test] section","id":"156","title":"The [test] section"},"157":{"body":"This section contains configurations of test by Verilator. Configuration Value Description compile_args [string] additional arguments to verilator command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.verilator] section","id":"157","title":"The [test.verilator] section"},"158":{"body":"This section contains configurations of test by VCS. Configuration Value Description compile_args [string] additional arguments to vcs command simulate_args [string] additional arguments to simulation binary","breadcrumbs":"Development Environment » Simulator » The [test.vcs] section","id":"158","title":"The [test.vcs] section"},"159":{"body":"This section contains configurations of test by Vivado. Configuration Value Description compile_args [string] additional arguments to xvlog command elaborate_args [string] additional arguments to xelab command simulate_args [string] additional arguments to xsim command","breadcrumbs":"Development Environment » Simulator » The [test.vivado] section","id":"159","title":"The [test.vivado] section"},"16":{"body":"By adopting if and case expressions instead of the ternary operator, readability improves, especially when comparing a large number of items. SystemVerilog\nVeryl logic a;\nassign a = X == 0 ? Y0 : X == 1 ? Y1 : X == 2 ? Y2 : Y3; var a: logic;\nassign a = case X { 0 : Y0, 1 : Y1, 2 : Y2, default: Y3,\n};","breadcrumbs":"Features » if / case expression","id":"16","title":"if / case expression"},"160":{"body":"veryl-ls is a language server binary. If you want to use it, editor configuration or plugin to use it is required. The available configurations are below. These can be specified by each editor's config. Configuration Value Default Description useOperatorCompletion boolean false use operator (e.g. '>:', '>>') completion","breadcrumbs":"Development Environment » Language Server » Language Server","id":"160","title":"Language Server"},"161":{"body":"Some tools supporting SystemVerilog don't support some features. Code generation can be customized by configuration of Veryl.toml to support these tools.","breadcrumbs":"Development Environment » Compatibility » Compatibility","id":"161","title":"Compatibility"},"162":{"body":"","breadcrumbs":"Development Environment » Compatibility » Vivado","id":"162","title":"Vivado"},"163":{"body":"Vivado don't support parameter which is typed as string. parameter string a = \"A\"; So you can use implicit_parameter_types like below: [build]\nimplicit_parameter_types = [\"string\"] By the configuration, the generated code becomes like below: parameter a = \"A\";","breadcrumbs":"Development Environment » Compatibility » String parameter","id":"163","title":"String parameter"},"164":{"body":"Documant of project can be generated by veryl doc command. All public modules, interfaces and packages will be listed in it. (See Visibility ) If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used. Waveform description based on WaveDrom is supported too. In a wavedrom code block, the syntax of WaveDrom can be written. Please refer Tutorial for the detailed syntax. /// The detailed description of ModuleA\n///\n/// * list item0\n/// * list item1\n///\n/// ```wavedrom\n/// {signal: [\n/// {name: 'clk', wave: 'p.....|...'},\n/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},\n/// {name: 'req', wave: '0.1..0|1.0'},\n/// {},\n/// {name: 'ack', wave: '1.....|01.'}\n///\n/// ]}\n/// ```\npub module ModuleA #( /// Data width param ParamA: u32 = 1, local ParamB: u32 = 1,\n) ( i_clk : input clock , /// Clock i_rst : input reset , /// Reset i_data: input logic, /// Data input o_data: output logic, /// Data output\n) { assign o_data = 0;\n} The available configurations are below. These can be specified in [doc] section of Veryl.toml. [doc]\npath = \"document\" Configuration Value Default Description path string \"doc\" path to output directory","breadcrumbs":"Development Environment » Documentation » Documentation","id":"164","title":"Documentation"},"165":{"body":"The official GitHub action to download a prebuilt binary of Veryl is provided. https://github.com/marketplace/actions/setup-veryl The examples of GitHub action script are below: Format and build check name: Check\non: [push, pull_request]\njobs: check: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl fmt --check - run: veryl check Publish document through GitHub Pages name: Deploy\non: [push]\njobs: deploy: runs-on: ubuntu-latest steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - run: veryl doc - uses: peaceiris/actions-gh-pages@v3 with: github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: doc Test by Verilator For this purpose, we provide GitHub action veryl-lang/setup-verilator . name: Test\non: [push, pull_request]\njobs: test: runs-on: ubuntu-22.04 steps: - uses: actions/checkout@v4 - uses: veryl-lang/setup-veryl@v1 - uses: veryl-lang/setup-verilator@v1 - run: veryl test --sim verilator","breadcrumbs":"Development Environment » GitHub Action » GitHub Action","id":"165","title":"GitHub Action"},"166":{"body":"","breadcrumbs":"Appendix » Appendix","id":"166","title":"Appendix"},"167":{"body":"Veryl's parser is based on parser generator parol . The following syntex definition of parol is formal syntax. %start Veryl\n%title \"Veryl grammar\"\n%comment \"Empty grammar generated by `parol`\"\n%user_type VerylToken = crate::veryl_token::VerylToken\n%user_type Token = crate::veryl_token::Token %scanner Embed { %auto_newline_off %auto_ws_off\n} %scanner Generic {\n} %% // ----------------------------------------------------------------------------\n// Terminal\n// ---------------------------------------------------------------------------- // Longest match should be first CommentsTerm : \"(?:(?:(?://.*(?:\\r\\n|\\r|\\n|$))|(?:(?ms)/\\u{2a}.*?\\u{2a}/))\\s*)+\" : Token;\nStringLiteralTerm : \"\\u{0022}(?:\\\\[\\u{0022}\\\\/bfnrt]|u[0-9a-fA-F]{4}|[^\\u{0022}\\\\\\u0000-\\u001F])*\\u{0022}\": Token;\nExponentTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;\nFixedPointTerm : /[0-9]+(?:_[0-9]+)*\\.[0-9]+(?:_[0-9]+)*/ : Token;\nBasedTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;\nAllBitTerm : /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;\nBaseLessTerm : /[0-9]+(?:_[0-9]+)*/ : Token;\nMinusColonTerm : '-:' : Token;\nMinusGTTerm : '->' : Token;\nPlusColonTerm : '+:' : Token;\nAssignmentOperatorTerm: \"\\+=|-=|\\*=|/=|%=|&=|\\|=|\\^=|<<=|>>=|<<<=|>>>=\" : Token;\nOperator11Term : \"\\*\\*\" : Token;\nOperator10Term : \"/|%\" : Token;\nOperator09Term : \"\\+|-\" : Token;\nOperator08Term : \"<<<|>>>|<<|>>\" : Token;\nOperator07Term : \"<=|>=|<:|>:\" : Token;\nOperator06Term : \"===|==\\?|!==|!=\\?|==|!=\" : Token;\nOperator02Term : \"&&\" : Token;\nOperator01Term : \"\\|\\|\" : Token;\nOperator05Term : \"&\" : Token;\nOperator04Term : \"\\^~|\\^|~\\^\" : Token;\nOperator03Term : \"\\|\" : Token;\nUnaryOperatorTerm : \"~&|~\\||!|~\" : Token;\nColonColonLAngleTerm : '::<' : Token;\nColonColonTerm : '::' : Token;\nColonTerm : ':' : Token;\nCommaTerm : ',' : Token;\nDotDotEquTerm : '..=' : Token;\nDotDotTerm : '..' : Token;\nDotTerm : '.' : Token;\nEquTerm : '=' : Token;\nHashTerm : '#' : Token;\nLAngleTerm : '<' : Token;\nQuoteLBraceTerm : \"'\\{\" : Token;\nLBraceTerm : '{' : Token;\nLBracketTerm : '[' : Token;\nLParenTerm : '(' : Token;\nRAngleTerm : '>' : Token;\nRBraceTerm : '}' : Token;\nRBracketTerm : ']' : Token;\nRParenTerm : ')' : Token;\nSemicolonTerm : ';' : Token;\nStarTerm : '*' : Token;\nAlwaysCombTerm : /(?-u:\\b)always_comb(?-u:\\b)/ : Token;\nAlwaysFfTerm : /(?-u:\\b)always_ff(?-u:\\b)/ : Token;\nAssignTerm : /(?-u:\\b)assign(?-u:\\b)/ : Token;\nAsTerm : /(?-u:\\b)as(?-u:\\b)/ : Token;\nBitTerm : /(?-u:\\b)bit(?-u:\\b)/ : Token;\nCaseTerm : /(?-u:\\b)case(?-u:\\b)/ : Token;\nClockTerm : /(?-u:\\b)clock(?-u:\\b)/ : Token;\nClockPosedgeTerm : /(?-u:\\b)clock_posedge(?-u:\\b)/ : Token;\nClockNegedgeTerm : /(?-u:\\b)clock_negedge(?-u:\\b)/ : Token;\nDefaultTerm : /(?-u:\\b)default(?-u:\\b)/ : Token;\nElseTerm : /(?-u:\\b)else(?-u:\\b)/ : Token;\nEmbedTerm : /(?-u:\\b)embed(?-u:\\b)/ : Token;\nEnumTerm : /(?-u:\\b)enum(?-u:\\b)/ : Token;\nExportTerm : /(?-u:\\b)export(?-u:\\b)/ : Token;\nF32Term : /(?-u:\\b)f32(?-u:\\b)/ : Token;\nF64Term : /(?-u:\\b)f64(?-u:\\b)/ : Token;\nFinalTerm : /(?-u:\\b)final(?-u:\\b)/ : Token;\nForTerm : /(?-u:\\b)for(?-u:\\b)/ : Token;\nFunctionTerm : /(?-u:\\b)function(?-u:\\b)/ : Token;\nI32Term : /(?-u:\\b)i32(?-u:\\b)/ : Token;\nI64Term : /(?-u:\\b)i64(?-u:\\b)/ : Token;\nIfResetTerm : /(?-u:\\b)if_reset(?-u:\\b)/ : Token;\nIfTerm : /(?-u:\\b)if(?-u:\\b)/ : Token;\nImportTerm : /(?-u:\\b)import(?-u:\\b)/ : Token;\nIncludeTerm : /(?-u:\\b)include(?-u:\\b)/ : Token;\nInitialTerm : /(?-u:\\b)initial(?-u:\\b)/ : Token;\nInoutTerm : /(?-u:\\b)inout(?-u:\\b)/ : Token;\nInputTerm : /(?-u:\\b)input(?-u:\\b)/ : Token;\nInsideTerm : /(?-u:\\b)inside(?-u:\\b)/ : Token;\nInstTerm : /(?-u:\\b)inst(?-u:\\b)/ : Token;\nInterfaceTerm : /(?-u:\\b)interface(?-u:\\b)/ : Token;\nInTerm : /(?-u:\\b)in(?-u:\\b)/ : Token;\nLetTerm : /(?-u:\\b)let(?-u:\\b)/ : Token;\nLocalTerm : /(?-u:\\b)local(?-u:\\b)/ : Token;\nLogicTerm : /(?-u:\\b)logic(?-u:\\b)/ : Token;\nLsbTerm : /(?-u:\\b)lsb(?-u:\\b)/ : Token;\nModportTerm : /(?-u:\\b)modport(?-u:\\b)/ : Token;\nModuleTerm : /(?-u:\\b)module(?-u:\\b)/ : Token;\nMsbTerm : /(?-u:\\b)msb(?-u:\\b)/ : Token;\nOutputTerm : /(?-u:\\b)output(?-u:\\b)/ : Token;\nOutsideTerm : /(?-u:\\b)outside(?-u:\\b)/ : Token;\nPackageTerm : /(?-u:\\b)package(?-u:\\b)/ : Token;\nParamTerm : /(?-u:\\b)param(?-u:\\b)/ : Token;\nPubTerm : /(?-u:\\b)pub(?-u:\\b)/ : Token;\nRefTerm : /(?-u:\\b)ref(?-u:\\b)/ : Token;\nRepeatTerm : /(?-u:\\b)repeat(?-u:\\b)/ : Token;\nResetTerm : /(?-u:\\b)reset(?-u:\\b)/ : Token;\nResetAsyncHighTerm : /(?-u:\\b)reset_async_high(?-u:\\b)/ : Token;\nResetAsyncLowTerm : /(?-u:\\b)reset_async_low(?-u:\\b)/ : Token;\nResetSyncHighTerm : /(?-u:\\b)reset_sync_high(?-u:\\b)/ : Token;\nResetSyncLowTerm : /(?-u:\\b)reset_sync_low(?-u:\\b)/ : Token;\nReturnTerm : /(?-u:\\b)return(?-u:\\b)/ : Token;\nBreakTerm : /(?-u:\\b)break(?-u:\\b)/ : Token;\nSignedTerm : /(?-u:\\b)signed(?-u:\\b)/ : Token;\nStepTerm : /(?-u:\\b)step(?-u:\\b)/ : Token;\nStringTerm : /(?-u:\\b)string(?-u:\\b)/ : Token;\nStructTerm : /(?-u:\\b)struct(?-u:\\b)/ : Token;\nTriTerm : /(?-u:\\b)tri(?-u:\\b)/ : Token;\nTypeTerm : /(?-u:\\b)type(?-u:\\b)/ : Token;\nU32Term : /(?-u:\\b)u32(?-u:\\b)/ : Token;\nU64Term : /(?-u:\\b)u64(?-u:\\b)/ : Token;\nUnionTerm : /(?-u:\\b)union(?-u:\\b)/ : Token;\nVarTerm : /(?-u:\\b)var(?-u:\\b)/ : Token;\nDollarIdentifierTerm : /\\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nIdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;\nAnyTerm : < Embed>/[^{}]*/ : Token; // ----------------------------------------------------------------------------\n// Token\n// ---------------------------------------------------------------------------- Comments: [ CommentsTerm ]; StartToken: Comments; StringLiteralToken: StringLiteralTerm: Token Comments; ExponentToken : ExponentTerm : Token Comments;\nFixedPointToken: FixedPointTerm: Token Comments;\nBasedToken : BasedTerm : Token Comments;\nBaseLessToken : BaseLessTerm : Token Comments;\nAllBitToken : AllBitTerm : Token Comments; AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;\nOperator01Token : Operator01Term : Token Comments;\nOperator02Token : Operator02Term : Token Comments;\nOperator03Token : Operator03Term : Token Comments;\nOperator04Token : Operator04Term : Token Comments;\nOperator05Token : Operator05Term : Token Comments;\nOperator06Token : Operator06Term : Token Comments;\nOperator07Token : Operator07Term : Token Comments;\nOperator08Token : Operator08Term : Token Comments;\nOperator09Token : Operator09Term : Token Comments;\nOperator10Token : Operator10Term : Token Comments;\nOperator11Token : Operator11Term : Token Comments;\nUnaryOperatorToken : UnaryOperatorTerm : Token Comments; ColonToken : ColonTerm : Token Comments;\nColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;\nColonColonToken : ColonColonTerm : Token Comments;\nCommaToken : CommaTerm : Token Comments;\nDotDotToken : DotDotTerm : Token Comments;\nDotDotEquToken : DotDotEquTerm : Token Comments;\nDotToken : DotTerm : Token Comments;\nEquToken : EquTerm : Token Comments;\nHashToken : HashTerm : Token Comments;\nQuoteLBraceToken : QuoteLBraceTerm : Token Comments;\nLAngleToken : LAngleTerm : Token Comments;\nLBraceToken : LBraceTerm : Token Comments;\nLBracketToken : LBracketTerm : Token Comments;\nLParenToken : LParenTerm : Token Comments;\nMinusColonToken : MinusColonTerm : Token Comments;\nMinusGTToken : MinusGTTerm : Token Comments;\nPlusColonToken : PlusColonTerm : Token Comments;\nRAngleToken : RAngleTerm : Token Comments;\nRBraceToken : RBraceTerm : Token Comments;\nRBracketToken : RBracketTerm : Token Comments;\nRParenToken : RParenTerm : Token Comments;\nSemicolonToken : SemicolonTerm : Token Comments;\nStarToken : StarTerm : Token Comments; AlwaysCombToken : AlwaysCombTerm : Token Comments;\nAlwaysFfToken : AlwaysFfTerm : Token Comments;\nAsToken : AsTerm : Token Comments;\nAssignToken : AssignTerm : Token Comments;\nBitToken : BitTerm : Token Comments;\nCaseToken : CaseTerm : Token Comments;\nClockToken : ClockTerm : Token Comments;\nClockPosedgeToken : ClockPosedgeTerm : Token Comments;\nClockNegedgeToken : ClockNegedgeTerm : Token Comments;\nDefaultToken : DefaultTerm : Token Comments;\nElseToken : ElseTerm : Token Comments;\nEmbedToken : EmbedTerm : Token Comments;\nEnumToken : EnumTerm : Token Comments;\nExportToken : ExportTerm : Token Comments;\nF32Token : F32Term : Token Comments;\nF64Token : F64Term : Token Comments;\nFinalToken : FinalTerm : Token Comments;\nForToken : ForTerm : Token Comments;\nFunctionToken : FunctionTerm : Token Comments;\nI32Token : I32Term : Token Comments;\nI64Token : I64Term : Token Comments;\nIfResetToken : IfResetTerm : Token Comments;\nIfToken : IfTerm : Token Comments;\nImportToken : ImportTerm : Token Comments;\nIncludeToken : IncludeTerm : Token Comments;\nInitialToken : InitialTerm : Token Comments;\nInoutToken : InoutTerm : Token Comments;\nInputToken : InputTerm : Token Comments;\nInsideToken : InsideTerm : Token Comments;\nInstToken : InstTerm : Token Comments;\nInterfaceToken : InterfaceTerm : Token Comments;\nInToken : InTerm : Token Comments;\nLetToken : LetTerm : Token Comments;\nLocalToken : LocalTerm : Token Comments;\nLogicToken : LogicTerm : Token Comments;\nLsbToken : LsbTerm : Token Comments;\nModportToken : ModportTerm : Token Comments;\nModuleToken : ModuleTerm : Token Comments;\nMsbToken : MsbTerm : Token Comments;\nOutputToken : OutputTerm : Token Comments;\nOutsideToken : OutsideTerm : Token Comments;\nPackageToken : PackageTerm : Token Comments;\nParamToken : ParamTerm : Token Comments;\nPubToken : PubTerm : Token Comments;\nRefToken : RefTerm : Token Comments;\nRepeatToken : RepeatTerm : Token Comments;\nResetToken : ResetTerm : Token Comments;\nResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;\nResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;\nResetSyncHighToken : ResetSyncHighTerm : Token Comments;\nResetSyncLowToken : ResetSyncLowTerm : Token Comments;\nReturnToken : ReturnTerm : Token Comments;\nBreakToken : BreakTerm : Token Comments;\nSignedToken : SignedTerm : Token Comments;\nStepToken : StepTerm : Token Comments;\nStringToken : StringTerm : Token Comments;\nStructToken : StructTerm : Token Comments;\nTriToken : TriTerm : Token Comments;\nTypeToken : TypeTerm : Token Comments;\nU32Token : U32Term : Token Comments;\nU64Token : U64Term : Token Comments;\nUnionToken : UnionTerm : Token Comments;\nVarToken : VarTerm : Token Comments; DollarIdentifierToken: DollarIdentifierTerm: Token Comments;\nIdentifierToken : IdentifierTerm : Token Comments; // ----------------------------------------------------------------------------\n// VerylToken\n// ---------------------------------------------------------------------------- // Start\nStart: StartToken: VerylToken; // StringLiteral\nStringLiteral: StringLiteralToken: VerylToken; // Number\nExponent : ExponentToken : VerylToken;\nFixedPoint: FixedPointToken: VerylToken;\nBased : BasedToken : VerylToken;\nBaseLess : BaseLessToken : VerylToken;\nAllBit : AllBitToken : VerylToken; // Operator\nAssignmentOperator: AssignmentOperatorToken: VerylToken;\nOperator01 : Operator01Token : VerylToken;\nOperator02 : Operator02Token : VerylToken;\nOperator03 : Operator03Token : VerylToken;\nOperator04 : Operator04Token : VerylToken;\nOperator05 : Operator05Token : VerylToken;\nOperator06 : Operator06Token : VerylToken;\nOperator07 : Operator07Token : VerylToken;\nOperator08 : Operator08Token : VerylToken;\nOperator09 : Operator09Token : VerylToken;\nOperator10 : Operator10Token : VerylToken;\nOperator11 : Operator11Token : VerylToken;\nUnaryOperator : UnaryOperatorToken : VerylToken; // Symbol\nColon : ColonToken : VerylToken;\nColonColonLAngle: ColonColonLAngleToken: VerylToken;\nColonColon : ColonColonToken : VerylToken;\nComma : CommaToken : VerylToken;\nDotDot : DotDotToken : VerylToken;\nDotDotEqu : DotDotEquToken : VerylToken;\nDot : DotToken : VerylToken;\nEqu : EquToken : VerylToken;\nHash : HashToken : VerylToken;\nQuoteLBrace : QuoteLBraceToken : VerylToken;\nLAngle : LAngleToken : VerylToken;\nLBrace : LBraceToken : VerylToken;\nLBracket : LBracketToken : VerylToken;\nLParen : LParenToken : VerylToken;\nMinusColon : MinusColonToken : VerylToken;\nMinusGT : MinusGTToken : VerylToken;\nPlusColon : PlusColonToken : VerylToken;\nRAngle : RAngleToken : VerylToken;\nRBrace : RBraceToken : VerylToken;\nRBracket : RBracketToken : VerylToken;\nRParen : RParenToken : VerylToken;\nSemicolon : SemicolonToken : VerylToken;\nStar : StarToken : VerylToken; // Keyword\nAlwaysComb : AlwaysCombToken : VerylToken;\nAlwaysFf : AlwaysFfToken : VerylToken;\nAs : AsToken : VerylToken;\nAssign : AssignToken : VerylToken;\nBit : BitToken : VerylToken;\nBreak : BreakToken : VerylToken;\nCase : CaseToken : VerylToken;\nClock : ClockToken : VerylToken;\nClockPosedge : ClockPosedgeToken : VerylToken;\nClockNegedge : ClockNegedgeToken : VerylToken;\nDefaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait\nElse : ElseToken : VerylToken;\nEmbed : EmbedToken : VerylToken;\nEnum : EnumToken : VerylToken;\nExport : ExportToken : VerylToken;\nF32 : F32Token : VerylToken;\nF64 : F64Token : VerylToken;\nFinal : FinalToken : VerylToken;\nFor : ForToken : VerylToken;\nFunction : FunctionToken : VerylToken;\nI32 : I32Token : VerylToken;\nI64 : I64Token : VerylToken;\nIf : IfToken : VerylToken;\nIfReset : IfResetToken : VerylToken;\nImport : ImportToken : VerylToken;\nIn : InToken : VerylToken;\nInclude : IncludeToken : VerylToken;\nInitial : InitialToken : VerylToken;\nInout : InoutToken : VerylToken;\nInput : InputToken : VerylToken;\nInside : InsideToken : VerylToken;\nInst : InstToken : VerylToken;\nInterface : InterfaceToken : VerylToken;\nLet : LetToken : VerylToken;\nLocal : LocalToken : VerylToken;\nLogic : LogicToken : VerylToken;\nLsb : LsbToken : VerylToken;\nModport : ModportToken : VerylToken;\nModule : ModuleToken : VerylToken;\nMsb : MsbToken : VerylToken;\nOutput : OutputToken : VerylToken;\nOutside : OutsideToken : VerylToken;\nPackage : PackageToken : VerylToken;\nParam : ParamToken : VerylToken;\nPub : PubToken : VerylToken;\nRef : RefToken : VerylToken;\nRepeat : RepeatToken : VerylToken;\nReset : ResetToken : VerylToken;\nResetAsyncHigh: ResetAsyncHighToken: VerylToken;\nResetAsyncLow : ResetAsyncLowToken : VerylToken;\nResetSyncHigh : ResetSyncHighToken : VerylToken;\nResetSyncLow : ResetSyncLowToken : VerylToken;\nReturn : ReturnToken : VerylToken;\nSigned : SignedToken : VerylToken;\nStep : StepToken : VerylToken;\nStrin : StringToken : VerylToken; // avoid to conflict with Rust's String struct\nStruct : StructToken : VerylToken;\nTri : TriToken : VerylToken;\nType : TypeToken : VerylToken;\nU32 : U32Token : VerylToken;\nU64 : U64Token : VerylToken;\nUnion : UnionToken : VerylToken;\nVar : VarToken : VerylToken; // Identifier\nDollarIdentifier: DollarIdentifierToken: VerylToken;\nIdentifier : IdentifierToken : VerylToken; // ----------------------------------------------------------------------------\n// Number\n// ---------------------------------------------------------------------------- Number: IntegralNumber | RealNumber ; IntegralNumber: Based | BaseLess | AllBit ; RealNumber: FixedPoint | Exponent ; // ----------------------------------------------------------------------------\n// Complex Identifier\n// ---------------------------------------------------------------------------- HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };\nScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };\nExpressionIdentifier : ScopedIdentifier { Select } { Dot Identifier { Select } }; // ----------------------------------------------------------------------------\n// Expression\n// ---------------------------------------------------------------------------- Expression : Expression01 { Operator01 Expression01 };\nExpression01: Expression02 { Operator02 Expression02 };\nExpression02: Expression03 { Operator03 Expression03 };\nExpression03: Expression04 { Operator04 Expression04 };\nExpression04: Expression05 { Operator05 Expression05 };\nExpression05: Expression06 { Operator06 Expression06 };\nExpression06: Expression07 { Operator07 Expression07 };\nExpression07: Expression08 { Operator08 Expression08 };\nExpression08: Expression09 { Operator09 Expression09 };\nExpression09: Expression10 { ( Operator10 | Star ) Expression10 };\nExpression10: Expression11 { Operator11 Expression11 };\nExpression11: Expression12 { As ScopedIdentifier };\nExpression12: { ( UnaryOperator | Operator09 | Operator05 | Operator03 | Operator04 ) } Factor; Factor: Number | ExpressionIdentifier [ FunctionCall ] | LParen Expression RParen | LBrace ConcatenationList RBrace | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression | OutsideExpression ; FunctionCall: LParen [ ArgumentList ] RParen; ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ]; ArgumentItem: Expression; ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ]; ConcatenationItem: Expression [ Repeat Expression ]; ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ]; ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ); IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen ; InsideExpression: Inside Expression LBrace RangeList RBrace; OutsideExpression: Outside Expression LBrace RangeList RBrace; RangeList: RangeItem { Comma RangeItem } [ Comma ]; RangeItem: Range; // ----------------------------------------------------------------------------\n// Select / Width / Array / Range\n// ---------------------------------------------------------------------------- Select: LBracket Expression [ SelectOperator Expression ] RBracket; SelectOperator: Colon | PlusColon | MinusColon | Step ; Width: LAngle Expression { Comma Expression } RAngle; Array: LBracket Expression { Comma Expression } RBracket; Range: Expression [ RangeOperator Expression ]; RangeOperator: DotDot | DotDotEqu ; // ----------------------------------------------------------------------------\n// ScalarType / ArrayType\n// ---------------------------------------------------------------------------- FixedType: U32 | U64 | I32 | I64 | F32 | F64 | Strin; VariableType: ( Clock | ClockPosedge | ClockNegedge | Reset | ResetAsyncHigh | ResetAsyncLow | ResetSyncHigh | ResetSyncLow | Logic | Bit | ScopedIdentifier ) [ Width ]; TypeModifier: Tri | Signed; ScalarType: { TypeModifier } ( VariableType | FixedType ); ArrayType: ScalarType [ Array ]; // ----------------------------------------------------------------------------\n// Statement\n// ---------------------------------------------------------------------------- Statement: LetStatement | IdentifierStatement | IfStatement | IfResetStatement | ReturnStatement | BreakStatement | ForStatement | CaseStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon; Assignment: ( Equ | AssignmentOperator ) Expression; IfStatement: If Expression LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; IfResetStatement: IfReset LBrace { Statement } RBrace { Else If Expression LBrace { Statement } RBrace } [ Else LBrace { Statement } RBrace ]; ReturnStatement: Return Expression Semicolon; BreakStatement: Break Semicolon; ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator Expression ] LBrace { Statement } RBrace; CaseStatement: Case Expression LBrace { CaseItem } RBrace; CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); // ----------------------------------------------------------------------------\n// Attribute\n// ---------------------------------------------------------------------------- Attribute: Hash LBracket Identifier [ LParen AttributeList RParen ] RBracket; AttributeList: AttributeItem { Comma AttributeItem } [ Comma ]; AttributeItem: Identifier | StringLiteral ; // ----------------------------------------------------------------------------\n// Declaration\n// ---------------------------------------------------------------------------- LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; VarDeclaration: Var Identifier Colon ArrayType Semicolon; LocalDeclaration: Local Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ) Semicolon; TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; AlwaysFfDeclaration: AlwaysFf [ AlwayfFfEventList ] LBrace { Statement } RBrace; AlwayfFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen; AlwaysFfClock: HierarchicalIdentifier; AlwaysFfReset: HierarchicalIdentifier; AlwaysCombDeclaration: AlwaysComb LBrace { Statement } RBrace; AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; ModportDeclaration: Modport Identifier LBrace ModportList RBrace; ModportList: ModportGroup { Comma ModportGroup } [ Comma ]; ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem ); ModportItem: Identifier Colon Direction; EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; EnumList: EnumGroup { Comma EnumGroup } [ Comma ]; EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem ); EnumItem: Identifier [ Equ Expression ]; StructUnion: Struct | Union; StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace; StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ]; StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem ); StructUnionItem: Identifier Colon ScalarType; InitialDeclaration: Initial LBrace { Statement } RBrace; FinalDeclaration: Final LBrace { Statement } RBrace; // ----------------------------------------------------------------------------\n// InstDeclaration\n// ---------------------------------------------------------------------------- InstDeclaration: Inst Identifier Colon ScopedIdentifier [ Array ] [ InstParameter ] [ LParen [ InstPortList ] RParen ] Semicolon; InstParameter: Hash LParen [ InstParameterList ] RParen; InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ]; InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem ); InstParameterItem: Identifier [ Colon Expression ]; InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ]; InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem ); InstPortItem: Identifier [ Colon Expression ]; // ----------------------------------------------------------------------------\n// WithParameter\n// ---------------------------------------------------------------------------- WithParameter: Hash LParen [ WithParameterList ] RParen; WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ]; WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem ); WithParameterItem: ( Param | Local ) Identifier Colon ( ArrayType Equ Expression | Type Equ TypeExpression ); // ----------------------------------------------------------------------------\n// WithGenericParameter\n// ---------------------------------------------------------------------------- WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ]; WithGenericParameterItem: Identifier; // ----------------------------------------------------------------------------\n// WithGenericArgument\n// ---------------------------------------------------------------------------- WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentList RAngle %pop(); WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ]; WithGenericArgumentItem: ScopedIdentifier | Number ; // ----------------------------------------------------------------------------\n// PortDeclaration\n// ---------------------------------------------------------------------------- PortDeclaration: LParen [ PortDeclarationList ] RParen; PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ]; PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem ); PortDeclarationItem: Identifier Colon ( Direction ArrayType | Interface [ Array ] ); Direction: Input | Output | Inout | Ref | Modport ; // ----------------------------------------------------------------------------\n// Function\n// ---------------------------------------------------------------------------- FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] LBrace { FunctionItem } RBrace; FunctionItem: VarDeclaration | Statement ; // ----------------------------------------------------------------------------\n// Import / Export\n// ---------------------------------------------------------------------------- ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon; ExportDeclaration: Export ( Star | ScopedIdentifier [ ColonColon Star ] ) Semicolon; // ----------------------------------------------------------------------------\n// Module\n// ---------------------------------------------------------------------------- ModuleDeclaration: [ Pub ] Module Identifier [ WithGenericParameter ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace; ModuleIfDeclaration: If Expression ModuleNamedBlock { Else If Expression ModuleOptionalNamedBlock } [ Else ModuleOptionalNamedBlock ]; ModuleForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] ModuleNamedBlock; ModuleNamedBlock: Colon Identifier LBrace { ModuleGroup } RBrace; ModuleOptionalNamedBlock: [ Colon Identifier ] LBrace { ModuleGroup } RBrace; ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem ); ModuleItem: LetDeclaration | VarDeclaration | InstDeclaration | TypeDefDeclaration | LocalDeclaration | AlwaysFfDeclaration | AlwaysCombDeclaration | AssignDeclaration | FunctionDeclaration | ModuleIfDeclaration | ModuleForDeclaration | EnumDeclaration | StructUnionDeclaration | ModuleNamedBlock | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Interface\n// ---------------------------------------------------------------------------- InterfaceDeclaration: [ Pub ] Interface Identifier [ WithGenericParameter ] [ WithParameter ] LBrace { InterfaceGroup } RBrace; InterfaceIfDeclaration: If Expression InterfaceNamedBlock { Else If Expression InterfaceOptionalNamedBlock } [ Else InterfaceOptionalNamedBlock ]; InterfaceForDeclaration: For Identifier In Range [ Step AssignmentOperator Expression ] InterfaceNamedBlock; InterfaceNamedBlock: Colon Identifier LBrace { InterfaceGroup } RBrace; InterfaceOptionalNamedBlock: [ Colon Identifier ] LBrace { InterfaceGroup } RBrace; InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem ); InterfaceItem: LetDeclaration | VarDeclaration | LocalDeclaration | ModportDeclaration | InterfaceIfDeclaration | InterfaceForDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | InterfaceNamedBlock | FunctionDeclaration | ImportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Package\n// ---------------------------------------------------------------------------- PackageDeclaration: [ Pub ] Package Identifier [ WithGenericParameter ] LBrace { PackageGroup } RBrace; PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem ); PackageItem: VarDeclaration | LocalDeclaration | TypeDefDeclaration | EnumDeclaration | StructUnionDeclaration | FunctionDeclaration | ImportDeclaration | ExportDeclaration | InitialDeclaration | FinalDeclaration ; // ----------------------------------------------------------------------------\n// Embed\n// ---------------------------------------------------------------------------- EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; EmbedContent: EmbedContentToken: VerylToken; EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; // ----------------------------------------------------------------------------\n// Include\n// ---------------------------------------------------------------------------- IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; // ----------------------------------------------------------------------------\n// Description\n// ---------------------------------------------------------------------------- DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem ); DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration | IncludeDeclaration ; // ----------------------------------------------------------------------------\n// SourceCode\n// ---------------------------------------------------------------------------- Veryl: Start { DescriptionGroup };","breadcrumbs":"Appendix » Formal Syntax » Formal Syntax","id":"167","title":"Formal Syntax"},"168":{"body":"","breadcrumbs":"Appendix » Semantic Error » Semantic Error","id":"168","title":"Semantic Error"},"169":{"body":"","breadcrumbs":"Appendix » Semantic Error » duplicated_identifier","id":"169","title":"duplicated_identifier"},"17":{"body":"With notation representing closed intervals ..= and half-open intervals .., it is possible to uniformly describe ranges using for, inside, and outside (which denotes the inverse of inside). SystemVerilog\nVeryl for (int i = 0; i < 10; i++) begin a[i] = X[i] inside {[1:10]}; b[i] = !(X[i] inside {[1:10]});\nend for i: u32 in 0..10 { a[i] = inside X[i] {1..=10}; b[i] = outside X[i] {1..=10};\n}","breadcrumbs":"Features » Range-based for / inside / outside","id":"17","title":"Range-based for / inside / outside"},"170":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_allow","id":"170","title":"invalid_allow"},"171":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_direction","id":"171","title":"invalid_direction"},"172":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_identifier","id":"172","title":"invalid_identifier"},"173":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_lsb","id":"173","title":"invalid_lsb"},"174":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_msb","id":"174","title":"invalid_msb"},"175":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_number_character","id":"175","title":"invalid_number_character"},"176":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_statement","id":"176","title":"invalid_statement"},"177":{"body":"","breadcrumbs":"Appendix » Semantic Error » invalid_system_function","id":"177","title":"invalid_system_function"},"178":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_arity","id":"178","title":"mismatch_arity"},"179":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_attribute_args","id":"179","title":"mismatch_attribute_args"},"18":{"body":"The msb notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer. SystemVerilog\nVeryl logic a;\nlogic [WIDTH-1:0] X;\nassign a = X[WIDTH-1]; var a: logic;\nvar X: logic;\nassign a = X[msb];","breadcrumbs":"Features » msb notation","id":"18","title":"msb notation"},"180":{"body":"","breadcrumbs":"Appendix » Semantic Error » mismatch_type","id":"180","title":"mismatch_type"},"181":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_if_reset","id":"181","title":"missing_if_reset"},"182":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_port","id":"182","title":"missing_port"},"183":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_signal","id":"183","title":"missing_reset_signal"},"184":{"body":"","breadcrumbs":"Appendix » Semantic Error » missing_reset_statement","id":"184","title":"missing_reset_statement"},"185":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_enum_variant","id":"185","title":"too_large_enum_variant"},"186":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_large_number","id":"186","title":"too_large_number"},"187":{"body":"","breadcrumbs":"Appendix » Semantic Error » too_much_enum_variant","id":"187","title":"too_much_enum_variant"},"188":{"body":"","breadcrumbs":"Appendix » Semantic Error » undefined_identifier","id":"188","title":"undefined_identifier"},"189":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_attribute","id":"189","title":"unknown_attribute"},"19":{"body":"There is a dedicated let statement available for binding values simultaneously with variable declaration, which can be used in various contexts that were not supported in SystemVerilog. SystemVerilog\nVeryl logic tmp;\nalways_ff @ (posedge i_clk) begin tmp = b + 1; x <= tmp;\nend always_ff (i_clk) { let tmp: logic = b + 1; x = tmp;\n}","breadcrumbs":"Features » let statement","id":"19","title":"let statement"},"190":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_member","id":"190","title":"unknown_member"},"191":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_msb","id":"191","title":"unknown_msb"},"192":{"body":"","breadcrumbs":"Appendix » Semantic Error » unknown_port","id":"192","title":"unknown_port"},"193":{"body":"","breadcrumbs":"Appendix » Semantic Error » unused_variable","id":"193","title":"unused_variable"},"2":{"body":"Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.","breadcrumbs":"Introduction » Interoperability","id":"2","title":"Interoperability"},"20":{"body":"You can define named blocks to limit the scope of variables. SystemVerilog\nVeryl if (1) begin: BlockA\nend :BlockA {\n}","breadcrumbs":"Features » Named block","id":"20","title":"Named block"},"21":{"body":"Modules without the pub keyword cannot be referenced from outside the project and are not included in automatic documentation generation. This allows distinguishing between what should be exposed externally from the project and internal implementations. SystemVerilog\nVeryl module ModuleA;\nendmodule module ModuleB;\nendmodule pub module ModuleA {\n} module ModuleB {\n} Some browsers by default pause the playback of GIF animations. Please check your browser settings.","breadcrumbs":"Features » Visibility control","id":"21","title":"Visibility control"},"22":{"body":"Let's start to use Veryl. In this section, we will install Veryl, create an example project, and build it.","breadcrumbs":"Getting Started » Getting Started","id":"22","title":"Getting Started"},"23":{"body":"You can install Veryl by downloading binary. If you have Rust development environment, you can use cargo instead of it.","breadcrumbs":"Getting Started » Installation » Installation","id":"23","title":"Installation"},"24":{"body":"Veryl uses git command internally. Please confirm git can be launched.","breadcrumbs":"Getting Started » Installation » Requirement","id":"24","title":"Requirement"},"25":{"body":"","breadcrumbs":"Getting Started » Installation » Choose a way of installation","id":"25","title":"Choose a way of installation"},"26":{"body":"Download from release page , and extract to the directory in PATH.","breadcrumbs":"Getting Started » Installation » Download binary","id":"26","title":"Download binary"},"27":{"body":"You can install with cargo . cargo install veryl veryl-ls","breadcrumbs":"Getting Started » Installation » Cargo","id":"27","title":"Cargo"},"28":{"body":"Visual Studio Code and Vim / Neovim are supported officially.","breadcrumbs":"Getting Started » Installation » Editor integration","id":"28","title":"Editor integration"},"29":{"body":"For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching \"Veryl\" in extension panel or the following URL. Veryl extension for Visual Studio Code","breadcrumbs":"Getting Started » Installation » Visual Studio Code","id":"29","title":"Visual Studio Code"},"3":{"body":"Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity. With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.","breadcrumbs":"Introduction » Productivity","id":"3","title":"Productivity"},"30":{"body":"For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL. Vim / Neovim plugin","breadcrumbs":"Getting Started » Installation » Vim / Neovim","id":"30","title":"Vim / Neovim"},"31":{"body":"Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.","breadcrumbs":"Getting Started » Installation » Other Editors","id":"31","title":"Other Editors"},"32":{"body":"","breadcrumbs":"Getting Started » Hello, World! » Hello, World!","id":"32","title":"Hello, World!"},"33":{"body":"At first, a new Veryl project can be created by: veryl new hello After the command, the following directory and file will be created. $ veryl new hello\n[INFO ] Created \"hello\" project\n$ cd hello\n$ tree\n.\n`-- Veryl.toml 0 directories, 1 file Veryl.toml is the project configuration. [project]\nname = \"hello\"\nversion = \"0.1.0\" The description of all configuration is here .","breadcrumbs":"Getting Started » Hello, World! » Create Project","id":"33","title":"Create Project"},"34":{"body":"You can add source codes at an arbitrary position in the project directory. This is because Veryl project can be independent or integrated to other SystemVerilog project. The extension of Veryl's source codes is .veryl. For example, put the following code to src/hello.veryl. module ModuleA { initial { $display(\"Hello, world!\"); }\n} $ tree\n.\n|-- src\n| `-- hello.veryl\n`-- Veryl.toml 1 directory, 2 files Note: Some source codes in the book have play button \"▶\" which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of module ModuleA code.","breadcrumbs":"Getting Started » Hello, World! » Write Code","id":"34","title":"Write Code"},"35":{"body":"You can generate a SystemVerilog code by veryl build. $ veryl build\n[INFO ] Processing file ([path to hello]/src/hello.veryl)\n[INFO ] Output filelist ([path to hello]/hello.f)\n$ tree\n.\n|-- dependencies\n|-- hello.f\n|-- src\n| |-- hello.sv\n| `-- hello.veryl\n`-- Veryl.toml 2 directories, 4 files By default, SystemVerilog code will be generated at the same directory as Veryl code. The generated code is src/hello.sv. module hello_ModuleA; initial begin $display(\"Hello, world!\"); end\nendmodule Additionally, hello.f which is the filelist of generated codes will be generated. You can use it for SystemVerilog compiler. The following example is to use Verilator . $ verilator --cc -f hello.f","breadcrumbs":"Getting Started » Hello, World! » Build Code","id":"35","title":"Build Code"},"36":{"body":"Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code. This is a small example. In the following example, comments show the difference with SystemVerilog syntax. module ModuleA ( // name is first, and type is followed after `:` // bit width is represented by `<>` i_data: input logic<10>, o_data: output logic<10>, // use `{}` instead of `begin`/`end`\n) { assign o_data = i_data;\n} Additionally, the codeblocks in this chapter can be edit. Let's try to edit and play each code. A source code of Veryl has some module, interface and package like SystemVerilog. In this chapter, we'll show the some example source codes of them.","breadcrumbs":"Code Examples » Code Examples","id":"36","title":"Code Examples"},"37":{"body":"// module definition\nmodule ModuleA #( param ParamA: u32 = 10, local ParamB: u32 = 10, // trailing comma is allowed\n) ( i_clk : input clock , // `clock` is a special type for clock i_rst : input reset , // `reset` is a special type for reset i_sel : input logic , i_data: input logic [2], // `[]` means unpacked array in SystemVerilog o_data: output logic , // `<>` means packed array in SystemVerilog\n) { // local parameter declaration // `param` is not allowed in module local ParamC: u32 = 10; // variable declaration var r_data0: logic; var r_data1: logic; var r_data2: logic; // value binding let _w_data2: logic = i_data; // always_ff statement with reset // `always_ff` can take a mandatory clock and a optional reset // `if_reset` means `if (i_rst)`. This conceals reset porality // `()` of `if` is not required // `=` in `always_ff` is non-blocking assignment always_ff (i_clk, i_rst) { if_reset { r_data0 = 0; } else if i_sel { r_data0 = i_data[0]; } else { r_data0 = i_data[1]; } } // always_ff statement without reset always_ff (i_clk) { r_data1 = r_data0; } // clock and reset can be omitted // if there is a single clock and reset in the module always_ff { r_data2 = r_data1; } assign o_data = r_data1;\n}","breadcrumbs":"Code Examples » Module » Module","id":"37","title":"Module"},"38":{"body":"module ModuleA #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_rst : input reset , i_data: input logic, o_data: output logic,\n) { var r_data1: logic; var r_data2: logic; assign r_data1 = i_data + 1; assign o_data = r_data2 + 2; // instance declaration // `inst` keyword starts instance declaration // port connnection can be specified by `()` // each port connection is `[port_name]:[variable]` // `[port_name]` means `[port_name]:[port_name]` inst u_module_b: ModuleB ( i_clk , i_data: r_data1, o_data: r_data2, ); // instance declaration with parameter override // notation of parameter connection is the same as port inst u_module_c: ModuleC #(ParamA, ParamB: 10,);\n} module ModuleB #( param ParamA: u32 = 10,\n) ( i_clk : input clock , i_data: input logic, o_data: output logic,\n) { assign o_data = 1;\n} module ModuleC #( param ParamA: u32 = 10, param ParamB: u32 = 10,\n) () {}","breadcrumbs":"Code Examples » Instantiation » Instantiation","id":"38","title":"Instantiation"},"39":{"body":"// interface definition\ninterface InterfaceA #( param ParamA: u32 = 1, param ParamB: u32 = 1,\n) { local ParamC: u32 = 1; var a: logic; var b: logic; var c: logic; // modport definition modport master { a: input , b: input , c: output, } modport slave { a: input , b: input , c: output, }\n} module ModuleA ( i_clk: input clock, i_rst: input reset, // port declaration by modport intf_a_mst: modport InterfaceA::master, intf_a_slv: modport InterfaceA::slave ,\n) { // interface instantiation inst u_intf_a: InterfaceA [10];\n}","breadcrumbs":"Code Examples » Interface » Interface","id":"39","title":"Interface"},"4":{"body":"In this chapter, we introduce the features of Veryl along with clear examples. Real-time diagnostics Auto formatting Integrated test Dependency management Generics Trailing comma Abstraction of clock and reset Documentation comment Compound assignment operator in always_ff Individual namespace of enum variant repeat of concatenation if / case expression Range-based for / inside / outside msb notation let statement Named block Visibility control","breadcrumbs":"Features » Features","id":"4","title":"Features"},"40":{"body":"// package definition\npackage PackageA { local ParamA: u32 = 1; local ParamB: u32 = 1; function FuncA ( a: input logic, ) -> logic { return a + 1; }\n} module ModuleA { let a : logic<10> = PackageA::ParamA; let _b: logic<10> = PackageA::FuncA(a);\n}","breadcrumbs":"Code Examples » Package » Package","id":"40","title":"Package"},"41":{"body":"In this chapter, we'll discuss the lauguage definition of Veryl.","breadcrumbs":"Language Reference » Language Reference","id":"41","title":"Language Reference"},"42":{"body":"Veryl's source code is composed by some module, interface and package. module ModuleA {} module ModuleB {} interface InterfaceA {} package PackageA {} The name of module, interface and package in the transpiled code will added project name as prefix. In the sample code, project_ will be added. It is to avoid name conflict between projects.","breadcrumbs":"Language Reference » Source Code Structure » Source Code Structure","id":"42","title":"Source Code Structure"},"43":{"body":"This chapter shows the lexical structure of Veryl. At the first, we'll discuss about the general parts in it.","breadcrumbs":"Language Reference » Lexical Structure » Lexical Structure","id":"43","title":"Lexical Structure"},"44":{"body":"The encoding of Veryl source code should be UTF-8.","breadcrumbs":"Language Reference » Lexical Structure » Encoding","id":"44","title":"Encoding"},"45":{"body":"(white space), \\t and \\n are treated as white space. All of them are skipped by Veryl's parser.","breadcrumbs":"Language Reference » Lexical Structure » White Space","id":"45","title":"White Space"},"46":{"body":"Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code. // single line comment /*\nmulti line comment\n*/","breadcrumbs":"Language Reference » Lexical Structure » Comment","id":"46","title":"Comment"},"47":{"body":"Signle line comment starts with /// is treated as documentation comment. Documentation comment is used for document generation. /// documentation comment","breadcrumbs":"Language Reference » Lexical Structure » Documentation comment","id":"47","title":"Documentation comment"},"48":{"body":"Identifier is composed with ASCII alphabet and number and _. Leading number is not allowed. The following regular expression shows the definition. [a-zA-Z_][a-zA-Z0-9_]*","breadcrumbs":"Language Reference » Lexical Structure » Identifier","id":"48","title":"Identifier"},"49":{"body":"String is surrounded by \". Escape by \\ can be used like \\\", \\n and so on. \"Hello, World!\"","breadcrumbs":"Language Reference » Lexical Structure » String","id":"49","title":"String"},"5":{"body":"Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor. In the following example, adding the _ prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings. diagnostics If the video does not play [1]","breadcrumbs":"Features » Real-time diagnostics","id":"5","title":"Real-time diagnostics"},"50":{"body":"Almost all operators are the same as SystemVerilog. Please be careful the some differences. <: less than operator which is the same as < in SystemVerilog. >: greater than operator which is the same as > in SystemVerilog. // unary arithmetic\na = +1;\na = -1; // unary logical\na = !1;\na = ~1; // unary reduce\na = &1;\na = |1;\na = ^1;\na = ~&1;\na = ~|1;\na = ~^1;\na = ^~1; // binary arithmetic\na = 1 ** 1;\na = 1 * 1;\na = 1 / 1;\na = 1 % 1;\na = 1 + 1;\na = 1 - 1; // binary shift\na = 1 << 1;\na = 1 >> 1;\na = 1 <<< 1;\na = 1 >>> 1; // binary compare\na = 1 <: 1;\na = 1 <= 1;\na = 1 >: 1;\na = 1 >= 1;\na = 1 == 1;\na = 1 != 1;\na = 1 === 1;\na = 1 !== 1;\na = 1 ==? 1;\na = 1 !=? 1; // binary bitwise\na = 1 & 1;\na = 1 ^ 1;\na = 1 ~^ 1;\na = 1 ^~ 1;\na = 1 | 1; // binary logical\na = 1 && 1;\na = 1 || 1;","breadcrumbs":"Language Reference » Lexical Structure » Operator » Operator","id":"50","title":"Operator"},"51":{"body":"","breadcrumbs":"Language Reference » Lexical Structure » Number » Number","id":"51","title":"Number"},"52":{"body":"// integer\n0123456789\n01_23_45_67_89 // binary\n32'b01xzXZ\n32'b01_xz_XZ // octal\n32'o01234567xzXZ\n32'o01_23_45_67_xz_XZ // decimal\n32'd0123456789\n32'd01_23_45_67_89 // hex\n128'h0123456789abcdefxzABCDEFXZ\n128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ","breadcrumbs":"Language Reference » Lexical Structure » Number » Integer","id":"52","title":"Integer"},"53":{"body":"// all 0\n'0 // all 1\n'1 // all x\n'x\n'X // all z\n'z\n'Z","breadcrumbs":"Language Reference » Lexical Structure » Number » Set all bits","id":"53","title":"Set all bits"},"54":{"body":"The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code. module ModuleA { local a0: u64 = 'b0101; local a1: u64 = 'o01234567; local a2: u64 = 'd0123456789; local a3: u64 = 'h0123456789fffff;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Widthless integer","id":"54","title":"Widthless integer"},"55":{"body":"The bit width specification can be added to \"set all bits\". module ModuleA { local a0: u64 = 1'0; local a1: u64 = 2'1; local a2: u64 = 3'x; local a3: u64 = 4'z;\n}","breadcrumbs":"Language Reference » Lexical Structure » Number » Set sized bits","id":"55","title":"Set sized bits"},"56":{"body":"// floating point\n0123456789.0123456789\n01_23_45_67_89.01_23_45_67_89 // floating with exponent\n0123456789.0123456789e+0123456789\n01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89","breadcrumbs":"Language Reference » Lexical Structure » Number » Floating point","id":"56","title":"Floating point"},"57":{"body":"'{} represents array literal. In the literal, expression, repeat keyword and default keyword can be placed. module ModuleA { let _a: logic [3] = '{1, 2, 3}; let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1} let _c: logic [3] = '{default: 3}; // '{3, 3, 3}\n}","breadcrumbs":"Language Reference » Lexical Structure » Array Literal » Array Literal","id":"57","title":"Array Literal"},"58":{"body":"In this chapter, we'll discuss about data type.","breadcrumbs":"Language Reference » Data Type » Data Type","id":"58","title":"Data Type"},"59":{"body":"","breadcrumbs":"Language Reference » Data Type » Builtin Type » Builtin Type","id":"59","title":"Builtin Type"},"6":{"body":"In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible. format If the video does not play [1]","breadcrumbs":"Features » Auto formatting","id":"6","title":"Auto formatting"},"60":{"body":"logic is 4-state (0, 1, x, z) data type. The variable width can be specified by <> after logic. Multi-dimentional can be specified by . module ModuleA { let _a: logic = 1; let _b: logic<10> = 1; let _c: logic<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 4-state data type which has variable width","id":"60","title":"4-state data type which has variable width"},"61":{"body":"bit is 2-state (0, 1) data type. The variable width can be specified by <> after bit. Multi-dimentional can be specified by . module ModuleA { let _a: bit = 1; let _b: bit<10> = 1; let _c: bit<10, 10> = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » 2-state data type which has variable width","id":"61","title":"2-state data type which has variable width"},"62":{"body":"There are some integer types: u32: 32bit unsigned integer u64: 64bit unsigned integer i32: 32bit signed integer i64: 64bit signed integer module ModuleA { let _a: u32 = 1; let _b: u64 = 1; let _c: i32 = 1; let _d: i64 = 1;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Integer type","id":"62","title":"Integer type"},"63":{"body":"There are some floating point types: f32: 32bit floating point f64: 64bit floating point Both of them are represented as described by IEEE Std 754. module ModuleA { let _a: f32 = 1.0; let _b: f64 = 1.0;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Floating point type","id":"63","title":"Floating point type"},"64":{"body":"string is string type. module ModuleA { let _a: string = \"\";\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » String type","id":"64","title":"String type"},"65":{"body":"type is a type which represents type kind. Variable of type can be defined as param or local only. module ModuleA { local a: type = logic; local b: type = logic<10>; local c: type = u32;\n}","breadcrumbs":"Language Reference » Data Type » Builtin Type » Type type","id":"65","title":"Type type"},"66":{"body":"","breadcrumbs":"Language Reference » Data Type » User Defined Type » User Defined Type","id":"66","title":"User Defined Type"},"67":{"body":"struct is composite data type. It can contain some fields, and these fields can be access through . operator. module ModuleA { struct StructA { member_a: logic , member_b: logic<10>, member_c: u32 , } var a: StructA; assign a.member_a = 0; assign a.member_b = 1; assign a.member_c = 2;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Struct","id":"67","title":"Struct"},"68":{"body":"enum is enumerable type. It has some named variant, and the value of enum can be set to the one of them. The variant name can be specified by [enum name]::[variant name]. Each variant has the corresponding integral value. The value can be specified by =. Otherwise, it is assigned automatically. module A { enum EnumA: logic<2> { member_a, member_b, member_c = 3, } var a: EnumA; assign a = EnumA::member_a;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Enum","id":"68","title":"Enum"},"69":{"body":"A Veryl union is a packed, untagged sum type and is transpiled to SystemVerilog's packed union. Each union variant should have the same packed width as each other union variant. module A { union UnionA { variant_a: logic<8> , variant_b: logic<2, 4> , variant_c: logic<4, 2> , variant_d: logic<2, 2, 2>, } var a : UnionA; assign a.variant_a = 8'haa;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Union","id":"69","title":"Union"},"7":{"body":"Test code written by SystemVerilog can be embeded in Veryl code, it can be executed through veryl test command. #[test(test1)]\nembed (inline) sv{{{ module test1; initial begin assert (0) else $error(\"error\"); end endmodule\n}}}","breadcrumbs":"Features » Integrated test","id":"7","title":"Integrated test"},"70":{"body":"The type keyword can be used to define a type alias to scalar or array types. module A { type word_t = logic <16> ; type regfile_t = word_t [16]; type octbyte = bit <8> [8] ;\n}","breadcrumbs":"Language Reference » Data Type » User Defined Type » Typedef","id":"70","title":"Typedef"},"71":{"body":"Array can be defined by appending [] to any data type. The length of array can be specified by the value in []. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [20]; var b: logic <10> [20]; var c: u32 [20]; var d: StructA [20]; var e: EnumA [20]; assign a[0] = 0; assign b[0] = 0; assign c[0] = 0; assign d[0] = 0; assign e[0] = 0;\n} Multi-dimentional array can be defined by [X, Y, Z,,,]. module ModuleA { struct StructA { A: logic, } enum EnumA: logic { A, } var a: logic [10, 20, 30]; var b: logic <10> [10, 20, 30]; var c: u32 [10, 20, 30]; var d: StructA [10, 20, 30]; var e: EnumA [10, 20, 30]; assign a[0][0][0] = 0; assign b[0][0][0] = 0; assign c[0][0][0] = 0; assign d[0][0][0] = 0; assign e[0][0][0] = 0;\n}","breadcrumbs":"Language Reference » Data Type » Array » Array","id":"71","title":"Array"},"72":{"body":"clock is a special types to represent clock wiring. There are 3 variants to specify clock polarity. clock: clock type of which polarity is specified by the build option clock_posedge: clock type of which polarity is positive clock_negedge: clock type of which polarity is negative reset is a special types to represent reset wiring. There are 5 variants to specify reset polarity and synchronicity. reset: reset type of which polarity and synchronicity are specified by the build option reset_async_high: async/high active reset type reset_async_low: async/low active reset type reset_sync_high: sync/active high reset type reset_sync_low: sync/active low reset type If there is no special requirement, clock and reset are recommended for code reusability. module ModuleA ( i_clk : input clock , i_clk_p : input clock_posedge , i_clk_n : input clock_negedge , i_rst : input reset , i_rst_a : input reset_async_high, i_rst_a_n: input reset_async_low , i_rst_s : input reset_sync_high , i_rst_s_n: input reset_sync_low ,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk, i_rst) { if_reset { a = 0; } else { a = 1; } } always_ff (i_clk_p, i_rst_a) { if_reset { b = 0; } else { b = 1; } } always_ff (i_clk_n, i_rst_s_n) { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Data Type » Clock / Reset » Clock / Reset","id":"72","title":"Clock / Reset"},"73":{"body":"In this chapter, we'll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.","breadcrumbs":"Language Reference » Expression » Expression","id":"73","title":"Expression"},"74":{"body":"In expression, operator precedence is almost the same as SystemVerilog. Operator Associativity Precedence () [] :: . Left Highest + - ! ~ & ~& | ~| ^ ~^ ^~ (unary) Left ** Left * / % Left + - (binary) Left << >> <<< >>> Left <: <= >: >= Left == != === !== ==? !=? Left & (binary) Left ^ ~^ ^~ (binary) Left | (binary) Left && Left || Left = += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= None {} None Lowest","breadcrumbs":"Language Reference » Expression » Operator Precedence » Operator Precedence","id":"74","title":"Operator Precedence"},"75":{"body":"Function can be call by function_name(argument). System function of SystemVerilog like $clog2 can be used too. module ModuleA { let _a: logic = PackageA::FunctionA(1, 1); let _b: logic = $clog2(1, 1);\n} package PackageA { function FunctionA ( a: input logic, b: input logic, ) {}\n}","breadcrumbs":"Language Reference » Expression » Function Call » Function Call","id":"75","title":"Function Call"},"76":{"body":"{} represents bit concatenation. In {}, repeat keyword can be used to repeat specified operand. module ModuleA { let a : logic<10> = 1; let b : logic<10> = 1; let _c: logic = {a[9:0], b[4:3]}; let _d: logic = {a[9:0] repeat 10, b repeat 4};\n}","breadcrumbs":"Language Reference » Expression » Concatenation » Concatenation","id":"76","title":"Concatenation"},"77":{"body":"Conditional expression using if can be used. The section which represents condition is placed after if keyword, and () is not required surrounding it. else is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; assign b = if a == 0 { 1 } else if a >: 1 { 2 } else { 3 };\n}","breadcrumbs":"Language Reference » Expression » If » If","id":"77","title":"If"},"78":{"body":"Another conditional expression is case. case containts some arms like expression: expression. If the expression after case keyword matches the left expression of an arm, the right expression of the arm will be returned. default is a special arm which will be returned when all other arms are failed. default is mandatory because if expression always have to be evaluated to value. module ModuleA { let a: logic<10> = 1; var b: logic<10>; let c: logic<10> = 1; assign b = case a { 0 : 1, 1 : 2, c - 1 : 4, default: 5, };\n}","breadcrumbs":"Language Reference » Expression » Case » Case","id":"78","title":"Case"},"79":{"body":"[] is bit select operator. If an expression is specified to [], single bit is selected. Bit range selection can be specified by [expression:expression]. module ModuleA { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; assign b = a[3]; assign c = a[4:0];\n}","breadcrumbs":"Language Reference » Expression » Bit Select » Bit Select","id":"79","title":"Bit Select"},"8":{"body":"Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below. [dependencies]\n\"https://github.com/veryl-lang/sample\" = \"0.1.0\"","breadcrumbs":"Features » Dependency management","id":"8","title":"Dependency management"},"80":{"body":"Range can be specified through range operator. There are two range operator: ..: half-open interval ..=: closed interval Range can be used at some description like for statement. module ModuleA { initial { for _i: u32 in 0..10 {} for _j: u32 in 0..=10 {} }\n}","breadcrumbs":"Language Reference » Expression » Range » Range","id":"80","title":"Range"},"81":{"body":"msb and lsb can be used in bit selection by []. msb means most significant bit of the operand. lsb means least significant bit of the operand, it is the same as 0. module ModuleA { let a : logic<10> = 1; let _b: logic<10> = a[msb - 3:lsb]; let _c: logic<10> = a[msb - 1:lsb + 1];\n}","breadcrumbs":"Language Reference » Expression » Msb / Lsb » Msb / Lsb","id":"81","title":"Msb / Lsb"},"82":{"body":"inside check the specified expression is inside conditions which are specified in {}. Condition can be single expression or range . If the expression matches any condition, inside will return 1, otherwise 0. outside is vice versa. module ModuleA { var a: logic; var b: logic; assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10}; assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};\n}","breadcrumbs":"Language Reference » Expression » Inside / Outside » Inside / Outside","id":"82","title":"Inside / Outside"},"83":{"body":"In this chapter, we'll discuss about statement. Statement can be used in some declaration like always_ff, always_comb.","breadcrumbs":"Language Reference » Statement » Statement","id":"83","title":"Statement"},"84":{"body":"Assignment statement is variable = expression;. Unlike SystemVerilog, assignment operator is = in both always_comb and always_ff. There are other assignment operators: +=: addition assignment -=: subtraction assignment *=: multiplication assignment /=: division assignment %=: remainder assignment &=: bitwise AND assignment |=: bitwise OR assignment ^=: bitwise XOR assignment <<=: logical left shift assignment >>=: logical right shift assignment <<<=: arithmetic left shift assignment >>>=: arithmetic right shift assignment module ModuleA ( i_clk: input clock,\n) { let a: logic<10> = 1; var b: logic<10>; var c: logic<10>; var d: logic<10>; var e: logic<10>; always_comb { b = a + 1; c += a + 1; } always_ff (i_clk) { d = a + 1; e -= a + 1; }\n}","breadcrumbs":"Language Reference » Statement » Assignment » Assignment","id":"84","title":"Assignment"},"85":{"body":"Function call can be used as statement. In this case, the return value of function will be ignored. module ModuleA { initial { $display(\"Hello, world!\"); }\n}","breadcrumbs":"Language Reference » Statement » Function Call » Function Call","id":"85","title":"Function Call"},"86":{"body":"if can be used as statement. The difference from if expression is that statements are placed in {}. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { if a == 0 { b = 1; } else if a >: 1 { b = 2; } else { b = 3; } }\n}","breadcrumbs":"Language Reference » Statement » If » If","id":"86","title":"If"},"87":{"body":"case can be used as statement. The right-hand of arm is statement. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { case a { 0: b = 1; 1: b = 2; 2: { b = 3; b = 3; b = 3; } default: b = 4; } }\n}","breadcrumbs":"Language Reference » Statement » Case » Case","id":"87","title":"Case"},"88":{"body":"for statement represent repetition. Loop variable is placed before in keyword, and range is placed after it. break can be used to break the loop. module ModuleA { var a: logic<10>; always_comb { for i: u32 in 0..10 { a += i; if i == 5 { break; } } }\n}","breadcrumbs":"Language Reference » Statement » For » For","id":"88","title":"For"},"89":{"body":"return statement represents return from function. The expression after return keyword is the return value of the function. module ModuleA { function FunctionA () -> u32 { return 0; }\n}","breadcrumbs":"Language Reference » Statement » Return » Return","id":"89","title":"Return"},"9":{"body":"Code generation through generics achieves more reusable code than traditional parameter override. Prarmeters in function like the follwoign example, but also module names of instantiation, type names of struct definition, and so on can be parameterized. SystemVerilog\nVeryl function automatic logic [20-1:0] FuncA_20 ( input logic [20-1:0] a\n); return a + 1;\nendfunction function automatic logic [10-1:0] FuncA_10 ( input logic [10-1:0] a\n); return a + 1;\nendfunction logic [10-1:0] a;\nlogic [20-1:0] b;\nalways_comb begin a = FuncA_10(1); b = FuncA_20(1);\nend function FuncA:: ( a: input logic,\n) -> logic { return a + 1;\n} var a: logic<10>;\nvar b: logic<10>;\nalways_comb { a = FuncA::<10>(1); b = FuncA::<20>(1);\n}","breadcrumbs":"Features » Generics","id":"9","title":"Generics"},"90":{"body":"let statement represents a name bound to a value. It can be used in always_ff, always_comb and function declaration. let statement can be placed anywhere in block. module ModuleA ( i_clk: input clock,\n) { var a: logic; var b: logic; var c: logic; always_ff (i_clk) { let x: logic = 1; a = x + 1; } always_comb { let y: logic = 1; b = y + 1; let z: logic = 1; c = z + 1; }\n}","breadcrumbs":"Language Reference » Statement » Let » Let","id":"90","title":"Let"},"91":{"body":"In this chapter, we'll discuss about declaration.","breadcrumbs":"Language Reference » Declaration » Declaration","id":"91","title":"Declaration"},"92":{"body":"Variable declaration is started by var keyword. After var, variable name, :, and the type of the variable are followed. If there are unused variables, warning will be occured. Variable name starting with _ means unused variable, and suppresses the warning. If you want to bind a value to a name at the declaration, let can be used instead of var. module ModuleA { var _a: logic ; var _b: logic<10> ; var _c: logic<10, 10>; var _d: u32 ; let _e: logic = 1; assign _a = 1; assign _b = 1; assign _c = 1; assign _d = 1;\n}","breadcrumbs":"Language Reference » Declaration » Variable » Variable","id":"92","title":"Variable"},"93":{"body":"Parameter can be declarated as the same as variable. param keyword can be used at module header, it can be overridden at instantiation. local keyword can be used in module, it can't be overridden. module ModuleA #( param ParamA: u32 = 1,\n) { local ParamB: u32 = 1;\n}","breadcrumbs":"Language Reference » Declaration » Parameter » Parameter","id":"93","title":"Parameter"},"94":{"body":"If a variable is assigned in always_ff declaration, it becomes register variable. Register variable will be mapped to flip-flop in synthesis phase. always_ff has mandatory clock variable, optional reset variable, and {} block. Clock and reset are placed in (). The specified clock and reset should have clock / reset type and the witdh of them should be 1bit. if_reset is a special keyword which can be used in always_ff. It means reset condition of the register variable. If if_reset is used, always_ff must have reset variable. if_reset can be conceal reset porality and synchronisity. The actual porality and synchronisity can be configured through [build] section of Veryl.toml. If there is a single clock and reset in the module, clock and reset specification can be omitted. module ModuleA ( i_clk: input clock, i_rst: input reset,\n) { var a: logic<10>; var b: logic<10>; var c: logic<10>; always_ff (i_clk) { a = 1; } always_ff (i_clk, i_rst) { if_reset { b = 0; } else { b = 1; } } always_ff { if_reset { c = 0; } else { c = 1; } }\n}","breadcrumbs":"Language Reference » Declaration » Register » Register","id":"94","title":"Register"},"95":{"body":"If a variable is assigned in always_comb declaration, it means combinational circuit. module ModuleA { let a: logic<10> = 1; var b: logic<10>; always_comb { b = a + 1; }\n}","breadcrumbs":"Language Reference » Declaration » Combinational » Combinational","id":"95","title":"Combinational"},"96":{"body":"assign declaration can assign expression to variable. module ModuleA { var a: logic<10>; assign a = 1;\n}","breadcrumbs":"Language Reference » Declaration » Assign » Assign","id":"96","title":"Assign"},"97":{"body":"Function can be declared by function keyword. Arguments are placed in () and return type is placed after ->. If function doesn't have a return value, -> can be omitted. module ModuleA { let a: logic<10> = 1; var b: logic<10>; function FunctionA ( a: input logic<10>, ) -> logic<10> { return a + 1; } function FunctionB ( a: input logic<10>, ) {} assign b = FunctionA(a); initial { FunctionB(a); }\n}","breadcrumbs":"Language Reference » Declaration » Function » Function","id":"97","title":"Function"},"98":{"body":"Statements in initial are executed at the beginning of simulation, final is the end. Both will be ignored logical synthesis, and can be used as debug or assertion. module ModuleA { initial { $display(\"initial\"); } final { $display(\"final\"); }\n}","breadcrumbs":"Language Reference » Declaration » Initial / Final » Initial / Final","id":"98","title":"Initial / Final"},"99":{"body":"Attribute can annotate some declarations like variable declaration.","breadcrumbs":"Language Reference » Declaration » Attribute » Attribute","id":"99","title":"Attribute"}},"length":194,"save":true},"fields":["title","body","breadcrumbs"],"index":{"body":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.4142135623730951},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.0}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.23606797749979},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"71":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.23606797749979},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.0},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.0},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.0},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.0}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.0}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.0},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.7320508075688772},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.449489742783178},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":1.7320508075688772}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.23606797749979},"85":{"tf":1.0},"87":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.4142135623730951}}}}}},"df":14,"docs":{"11":{"tf":2.449489742783178},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.1622776601683795},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.6457513110645907}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":34,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.7320508075688772},"34":{"tf":2.8284271247461903},"35":{"tf":2.449489742783178},"36":{"tf":2.23606797749979},"42":{"tf":2.0},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":1.7320508075688772},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.0},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.449489742783178},"47":{"tf":2.23606797749979}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":4,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.4142135623730951},"4":{"tf":1.0},"76":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":26,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.0},"146":{"tf":1.4142135623730951},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":6,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"67":{"tf":1.0},"71":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":25,"docs":{"101":{"tf":1.4142135623730951},"104":{"tf":1.7320508075688772},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"99":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":9,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":1.7320508075688772},"141":{"tf":3.1622776601683795},"142":{"tf":2.23606797749979},"143":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.4142135623730951},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.4142135623730951},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":3,"docs":{"119":{"tf":1.7320508075688772},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":10,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.0},"148":{"tf":2.6457513110645907},"149":{"tf":2.23606797749979},"150":{"tf":1.4142135623730951},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.0},"164":{"tf":2.0},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.0},"31":{"tf":1.4142135623730951},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.23606797749979},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.23606797749979},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.23606797749979},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"119":{"tf":1.4142135623730951},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":13,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.23606797749979},"4":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":2.6457513110645907},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":18,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":1.7320508075688772},"74":{"tf":1.0},"77":{"tf":1.4142135623730951},"78":{"tf":2.6457513110645907},"79":{"tf":1.0},"82":{"tf":1.7320508075688772},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":6,"docs":{"123":{"tf":1.0},"161":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.4142135623730951},"6":{"tf":1.0},"8":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"129":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.0}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.4142135623730951},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.23606797749979}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.4142135623730951},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":1.7320508075688772},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.449489742783178}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":1.7320508075688772},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":1.7320508075688772}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.7320508075688772}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.4142135623730951},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":3,"docs":{"32":{"tf":1.0},"33":{"tf":2.23606797749979},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":1.7320508075688772},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.1622776601683795},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":1.7320508075688772},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.7320508075688772}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.449489742783178},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":6,"docs":{"22":{"tf":1.0},"23":{"tf":1.4142135623730951},"25":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"38":{"tf":1.0},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.4142135623730951},"54":{"tf":1.4142135623730951},"62":{"tf":2.449489742783178}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":12,"docs":{"110":{"tf":1.0},"113":{"tf":1.4142135623730951},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.0}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.449489742783178},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.0},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.4142135623730951}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":11,"docs":{"0":{"tf":1.4142135623730951},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"147":{"tf":2.0},"149":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.23606797749979}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"137":{"tf":1.0},"153":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":1.7320508075688772}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.4142135623730951}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.23606797749979},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"4":{"tf":1.0},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.0},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":1.4142135623730951},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":1.7320508075688772},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.4142135623730951},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.4142135623730951},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":1.7320508075688772}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.4142135623730951},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":5,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":8,"docs":{"101":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"37":{"tf":1.0},"54":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":1.7320508075688772},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.0},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.7320508075688772},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":1.7320508075688772},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":1.7320508075688772},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.23606797749979},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.4142135623730951},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":1.7320508075688772},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.0},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.4142135623730951},"63":{"tf":2.0}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":25,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.1622776601683795},"121":{"tf":1.4142135623730951},"122":{"tf":1.0},"123":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"125":{"tf":1.0},"126":{"tf":1.4142135623730951},"127":{"tf":1.4142135623730951},"134":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":1.4142135623730951},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.23606797749979},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":1.7320508075688772},"144":{"tf":3.605551275463989},"145":{"tf":2.23606797749979},"146":{"tf":2.0},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.4142135623730951},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.23606797749979},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"164":{"tf":1.0},"41":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.0}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.0},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.0},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":2.8284271247461903},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":3.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"94":{"tf":3.1622776601683795}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.449489742783178},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.4142135623730951},"128":{"tf":1.4142135623730951},"136":{"tf":1.4142135623730951},"137":{"tf":1.4142135623730951},"138":{"tf":1.4142135623730951},"139":{"tf":1.4142135623730951},"140":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.0},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":4,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.0},"55":{"tf":1.4142135623730951},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":8,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":2.6457513110645907},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":8,"docs":{"11":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"37":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0},"94":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.0},"149":{"tf":1.0},"150":{"tf":1.0},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":4,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"94":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":8,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":1.4142135623730951},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":1.7320508075688772},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.4142135623730951},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.4142135623730951},"64":{"tf":2.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.4142135623730951},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":1.7320508075688772},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":4,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0},"43":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.4142135623730951},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.0},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":1.7320508075688772},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.0},"148":{"tf":1.0},"149":{"tf":2.23606797749979},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":2.8284271247461903},"120":{"tf":1.4142135623730951},"138":{"tf":1.7320508075688772},"155":{"tf":2.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":1.7320508075688772}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.4142135623730951},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.0}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":1.4142135623730951},"59":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951},"64":{"tf":1.4142135623730951},"65":{"tf":3.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":2.449489742783178},"71":{"tf":1.0},"72":{"tf":3.1622776601683795},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.449489742783178}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.0}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"66":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":2.6457513110645907},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.23606797749979},"141":{"tf":2.23606797749979},"143":{"tf":3.872983346207417},"144":{"tf":1.7320508075688772},"145":{"tf":2.23606797749979},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":1.7320508075688772},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.0}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.7320508075688772}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":5,"docs":{"32":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"breadcrumbs":{"root":{"0":{".":{".":{"1":{"0":{"df":5,"docs":{"101":{"tf":1.0},"17":{"tf":1.0},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"88":{"tf":1.0}}},"df":0,"docs":{}},"=":{"1":{"0":{"df":1,"docs":{"80":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{".":{"0":{"df":0,"docs":{},"|":{"1":{".":{"0":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"0":{"df":5,"docs":{"123":{"tf":1.0},"141":{"tf":1.7320508075688772},"143":{"tf":2.8284271247461903},"33":{"tf":1.0},"8":{"tf":1.0}}},"1":{"df":1,"docs":{"143":{"tf":2.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{".":{"0":{"df":2,"docs":{"141":{"tf":1.0},"143":{"tf":1.4142135623730951}}},"1":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.0}}},"2":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"1":{".":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"=":{"df":0,"docs":{},"u":{"d":{".":{"2":{"3":{".":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{".":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"+":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{".":{"0":{"1":{"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"56":{"tf":1.0}},"e":{"df":1,"docs":{"56":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"52":{"tf":1.0},"56":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":26,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"113":{"tf":1.0},"143":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.449489742783178},"17":{"tf":1.0},"33":{"tf":1.0},"37":{"tf":1.0},"53":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.7320508075688772},"86":{"tf":1.0},"87":{"tf":1.0},"89":{"tf":1.0},"94":{"tf":1.4142135623730951}}},"1":{"'":{"0":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{".":{".":{".":{".":{"df":0,"docs":{},"|":{"0":{"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"=":{"1":{"0":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"0":{"df":1,"docs":{"63":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"0":{"df":12,"docs":{"102":{"tf":1.0},"150":{"tf":1.0},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.23606797749979},"39":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":2.6457513110645907},"76":{"tf":1.0},"9":{"tf":1.7320508075688772},"92":{"tf":1.0}}},"2":{"8":{"'":{"df":0,"docs":{},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"a":{"b":{"c":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"a":{"b":{"_":{"c":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"15":{"tf":1.0}},"{":{"df":0,"docs":{},"i":{"df":1,"docs":{"15":{"tf":1.0}}}}},"5":{"9":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"3":{"b":{"3":{"df":0,"docs":{},"f":{"9":{"3":{"d":{"3":{"a":{"9":{"9":{"9":{"d":{"8":{"b":{"a":{"c":{"4":{"c":{"6":{"d":{"2":{"6":{"d":{"5":{"1":{"4":{"7":{"6":{"b":{"1":{"7":{"8":{"a":{"df":1,"docs":{"145":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"6":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}},":":{"0":{"df":2,"docs":{"18":{"tf":1.0},"9":{"tf":2.449489742783178}}},"1":{"0":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}},"df":46,"docs":{"100":{"tf":1.4142135623730951},"102":{"tf":2.23606797749979},"103":{"tf":1.4142135623730951},"104":{"tf":1.4142135623730951},"115":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":3.872983346207417},"156":{"tf":1.0},"16":{"tf":1.4142135623730951},"164":{"tf":1.4142135623730951},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"20":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"40":{"tf":1.7320508075688772},"5":{"tf":1.0},"50":{"tf":8.06225774829855},"53":{"tf":1.4142135623730951},"57":{"tf":2.23606797749979},"6":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":2.0},"67":{"tf":1.0},"72":{"tf":1.7320508075688772},"75":{"tf":1.4142135623730951},"76":{"tf":1.4142135623730951},"77":{"tf":1.7320508075688772},"78":{"tf":2.23606797749979},"79":{"tf":1.0},"81":{"tf":1.4142135623730951},"82":{"tf":2.0},"84":{"tf":2.23606797749979},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"9":{"tf":1.7320508075688772},"90":{"tf":2.449489742783178},"92":{"tf":2.23606797749979},"93":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":1.4142135623730951}}},"2":{"'":{"1":{"df":1,"docs":{"55":{"tf":1.0}}},"df":0,"docs":{}},".":{"0":{"df":1,"docs":{"126":{"tf":1.0}}},"3":{"df":1,"docs":{"126":{"tf":1.0}}},"df":0,"docs":{}},"0":{"df":2,"docs":{"71":{"tf":3.1622776601683795},"9":{"tf":1.7320508075688772}}},"2":{".":{"0":{"4":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{"7":{"b":{"c":{"6":{"b":{"2":{"4":{"c":{"5":{"c":{"df":0,"docs":{},"e":{"c":{"a":{"9":{"df":0,"docs":{},"e":{"6":{"4":{"8":{"c":{"3":{"df":0,"docs":{},"e":{"a":{"5":{"df":0,"docs":{},"e":{"0":{"1":{"0":{"1":{"1":{"c":{"6":{"7":{"d":{"7":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"7":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":18,"docs":{"101":{"tf":1.4142135623730951},"148":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":2.0},"16":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.0},"61":{"tf":1.7320508075688772},"67":{"tf":1.0},"69":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.4142135623730951}},"{":{"df":0,"docs":{},"x":{"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"3":{"'":{"df":0,"docs":{},"x":{"df":1,"docs":{"55":{"tf":1.0}}}},"0":{"df":1,"docs":{"71":{"tf":2.23606797749979}}},"1":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"'":{"b":{"0":{"1":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"8":{"9":{"df":1,"docs":{"52":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"2":{"3":{"_":{"4":{"5":{"_":{"6":{"7":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"_":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"52":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}}},"df":9,"docs":{"148":{"tf":1.0},"154":{"tf":2.0},"57":{"tf":3.0},"68":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0},"82":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.7320508075688772}}},"4":{"'":{"df":0,"docs":{},"z":{"df":1,"docs":{"55":{"tf":1.0}}}},"df":10,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":5.477225575051661},"35":{"tf":1.0},"60":{"tf":1.7320508075688772},"69":{"tf":1.0},"76":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}},"5":{"df":5,"docs":{"101":{"tf":1.0},"150":{"tf":1.0},"72":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0}}},"6":{"4":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"62":{"tf":1.4142135623730951},"63":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"7":{"5":{"4":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"8":{"'":{"df":0,"docs":{},"h":{"a":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"44":{"tf":1.0},"70":{"tf":1.4142135623730951}}},"9":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},")":{"*":{")":{"?":{"'":{"[":{"0":{"1":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"df":0,"docs":{}},"b":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"h":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":1.0}}}}},"\\":{".":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":1,"docs":{"48":{"tf":1.0}}},"a":{"df":1,"docs":{"167":{"tf":2.23606797749979}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"_":{"a":{"df":13,"docs":{"100":{"tf":1.0},"103":{"tf":1.4142135623730951},"108":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"75":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"b":{"df":12,"docs":{"100":{"tf":1.0},"115":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"75":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"c":{"df":8,"docs":{"118":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"76":{"tf":1.0},"81":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"d":{"df":3,"docs":{"62":{"tf":1.0},"76":{"tf":1.0},"92":{"tf":1.4142135623730951}}},"df":4,"docs":{"122":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}},"e":{"df":1,"docs":{"92":{"tf":1.0}}},"i":{"df":1,"docs":{"80":{"tf":1.0}}},"j":{"df":1,"docs":{"80":{"tf":1.0}}},"w":{"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"2":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"a":{".":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"67":{"tf":1.0}}},"b":{"df":1,"docs":{"67":{"tf":1.0}}},"c":{"df":1,"docs":{"67":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"0":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"1":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"2":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"3":{"df":2,"docs":{"54":{"tf":1.0},"55":{"tf":1.0}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"3":{"df":1,"docs":{"79":{"tf":1.0}}},"4":{":":{"0":{"df":1,"docs":{"79":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"9":{":":{"0":{"df":1,"docs":{"76":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"101":{"tf":1.4142135623730951},"17":{"tf":1.4142135623730951}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"142":{"tf":1.0}}}},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"s":{"df":5,"docs":{"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"114":{"tf":1.7320508075688772},"118":{"tf":1.0},"67":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.449489742783178}},"s":{"/":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"@":{"df":0,"docs":{},"v":{"4":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"v":{"df":2,"docs":{"130":{"tf":2.0},"72":{"tf":1.4142135623730951}}}},"u":{"a":{"df":0,"docs":{},"l":{"df":3,"docs":{"114":{"tf":2.23606797749979},"142":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"d":{"df":6,"docs":{"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"144":{"tf":1.7320508075688772},"150":{"tf":1.0},"164":{"tf":1.4142135623730951},"34":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"6":{"tf":1.0},"84":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}}}},"df":7,"docs":{"103":{"tf":1.0},"123":{"tf":1.0},"142":{"tf":1.0},"42":{"tf":1.4142135623730951},"5":{"tf":1.0},"55":{"tf":1.0},"8":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}},"v":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"a":{"df":1,"docs":{"12":{"tf":1.0}}},"df":0,"docs":{}},"i":{"a":{"df":1,"docs":{"70":{"tf":1.0}}},"df":0,"docs":{}},"l":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":8,"docs":{"11":{"tf":1.0},"12":{"tf":1.0},"143":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.0},"37":{"tf":1.4142135623730951},"48":{"tf":1.0},"8":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"150":{"tf":1.0},"4":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"h":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"122":{"tf":1.0},"48":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"122":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":2,"docs":{"152":{"tf":1.0},"153":{"tf":1.0}}}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"77":{"tf":1.0},"78":{"tf":1.0}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":11,"docs":{"105":{"tf":1.0},"13":{"tf":1.4142135623730951},"154":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":11,"docs":{"11":{"tf":1.4142135623730951},"13":{"tf":2.449489742783178},"154":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"4":{"tf":1.0},"72":{"tf":1.7320508075688772},"83":{"tf":1.0},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":2.6457513110645907}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"m":{"d":{"df":1,"docs":{"155":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"21":{"tf":1.0}}}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"99":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"y":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"@":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"78":{"tf":1.0}}}}},"y":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"w":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"90":{"tf":1.0}}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"143":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"71":{"tf":1.0}},"i":{"df":0,"docs":{},"x":{"df":28,"docs":{"166":{"tf":1.7320508075688772},"167":{"tf":1.0},"168":{"tf":1.0},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"o":{"a":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"34":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"104":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"97":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}}}}}},"m":{"df":2,"docs":{"78":{"tf":2.23606797749979},"87":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"y":{"df":6,"docs":{"124":{"tf":1.0},"167":{"tf":2.23606797749979},"37":{"tf":1.4142135623730951},"57":{"tf":2.0},"70":{"tf":1.0},"71":{"tf":2.449489742783178}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":3.0}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":28,"docs":{"101":{"tf":1.4142135623730951},"102":{"tf":1.0},"13":{"tf":2.449489742783178},"14":{"tf":1.4142135623730951},"15":{"tf":1.4142135623730951},"154":{"tf":1.4142135623730951},"16":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":2.0},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"4":{"tf":1.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.4142135623730951},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":4.242640687119285},"92":{"tf":2.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":2.449489742783178},"97":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"o":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"144":{"tf":1.0},"74":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"y":{"df":0,"docs":{},"n":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.0}}}}}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"11":{"tf":1.0},"130":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":4,"docs":{"100":{"tf":2.449489742783178},"113":{"tf":1.0},"167":{"tf":3.605551275463989},"99":{"tf":2.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"124":{"tf":2.23606797749979}}}}},"o":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"w":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}}},"w":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"o":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":2,"docs":{"4":{"tf":1.0},"6":{"tf":1.4142135623730951}},"m":{"a":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.4142135623730951},"21":{"tf":1.0},"3":{"tf":1.4142135623730951},"6":{"tf":1.0},"68":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":18,"docs":{"105":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"df":0,"docs":{}}}}},"b":{"0":{"1":{"0":{"1":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"4":{":":{"3":{"df":1,"docs":{"76":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.4142135623730951}}}},"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"d":{"df":2,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":6,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"17":{"tf":1.4142135623730951},"4":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"i":{"c":{"df":1,"docs":{"1":{"tf":1.0}}},"df":0,"docs":{}}}},"b":{"df":1,"docs":{"102":{"tf":1.4142135623730951}}},"df":25,"docs":{"10":{"tf":1.4142135623730951},"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.7320508075688772},"108":{"tf":1.0},"117":{"tf":1.0},"19":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"75":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"86":{"tf":2.0},"87":{"tf":2.6457513110645907},"9":{"tf":2.0},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"e":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":5,"docs":{"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"163":{"tf":1.0},"94":{"tf":1.0}}}}},"df":1,"docs":{"1":{"tf":1.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"88":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"`":{"/":{"`":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"36":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":10,"docs":{"11":{"tf":1.7320508075688772},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}}}}},"h":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":17,"docs":{"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"163":{"tf":1.4142135623730951},"164":{"tf":1.0},"165":{"tf":1.0},"8":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.0},"21":{"tf":1.0},"42":{"tf":1.0}}}}}}}},"i":{"df":1,"docs":{"105":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":9,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"160":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.4142135623730951},"50":{"tf":2.23606797749979},"52":{"tf":1.0},"74":{"tf":2.0}}}}},"d":{"df":3,"docs":{"19":{"tf":1.0},"37":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"t":{"<":{"1":{"0":{"df":1,"docs":{"61":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":12,"docs":{"15":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"36":{"tf":1.0},"53":{"tf":1.4142135623730951},"54":{"tf":1.0},"55":{"tf":2.0},"61":{"tf":1.7320508075688772},"70":{"tf":1.0},"76":{"tf":1.0},"79":{"tf":2.449489742783178},"81":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":1.7320508075688772}}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"a":{"df":1,"docs":{"20":{"tf":1.4142135623730951}}},"df":10,"docs":{"103":{"tf":2.23606797749979},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"13":{"tf":1.7320508075688772},"164":{"tf":1.0},"20":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"r":{"b":{"df":1,"docs":{"125":{"tf":1.0}}},"df":0,"docs":{}}}},"o":{"d":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"h":{"df":4,"docs":{"11":{"tf":1.0},"63":{"tf":1.0},"84":{"tf":1.0},"98":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"90":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"a":{"c":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"144":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"88":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"21":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}},"i":{"df":0,"docs":{},"l":{"d":{"df":17,"docs":{"11":{"tf":1.0},"120":{"tf":1.4142135623730951},"128":{"tf":1.7320508075688772},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"153":{"tf":1.0},"163":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":2.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":1,"docs":{"8":{"tf":1.0}},"i":{"df":0,"docs":{},"n":{"df":7,"docs":{"59":{"tf":1.7320508075688772},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":2,"docs":{"145":{"tf":1.0},"146":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":2,"docs":{"145":{"tf":2.6457513110645907},"146":{"tf":2.0}}}},"n":{"d":{"df":0,"docs":{},"l":{"df":2,"docs":{"106":{"tf":1.0},"132":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.7320508075688772}}}}}}}},"c":{"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"113":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.0},"85":{"tf":2.0}}}},"n":{"'":{"df":0,"docs":{},"t":{"df":2,"docs":{"114":{"tf":1.4142135623730951},"93":{"tf":1.0}}}},"d":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"151":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"50":{"tf":1.0}}},"g":{"df":0,"docs":{},"o":{"df":2,"docs":{"23":{"tf":1.0},"27":{"tf":2.0}}}}},"s":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":8,"docs":{"114":{"tf":1.0},"154":{"tf":5.477225575051661},"16":{"tf":2.0},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"78":{"tf":2.6457513110645907},"85":{"tf":1.0},"87":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"c":{"df":1,"docs":{"35":{"tf":1.0}}},"d":{"df":1,"docs":{"33":{"tf":1.0}}},"df":11,"docs":{"105":{"tf":1.4142135623730951},"108":{"tf":1.0},"39":{"tf":1.7320508075688772},"65":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.4142135623730951},"79":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}},"h":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":9,"docs":{"119":{"tf":1.0},"36":{"tf":1.4142135623730951},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"122":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"k":{"df":7,"docs":{"108":{"tf":1.0},"11":{"tf":1.0},"153":{"tf":1.7320508075688772},"165":{"tf":2.23606797749979},"21":{"tf":1.0},"6":{"tf":1.0},"82":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":2,"docs":{"150":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"146":{"tf":1.4142135623730951}}}}}},"i":{"df":1,"docs":{"6":{"tf":1.0}},"r":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"95":{"tf":1.0}}}}}},"df":0,"docs":{}}},"l":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"101":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"34":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"k":{"df":1,"docs":{"164":{"tf":1.0}}},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"129":{"tf":1.7320508075688772}}}}}},"df":14,"docs":{"11":{"tf":2.6457513110645907},"120":{"tf":1.0},"129":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.4641016151377544},"84":{"tf":1.0},"90":{"tf":1.0},"94":{"tf":2.6457513110645907}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"g":{"2":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}}},"o":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"36":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":38,"docs":{"1":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.7320508075688772},"112":{"tf":1.0},"113":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.0},"136":{"tf":1.0},"142":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":2.0},"34":{"tf":3.0},"35":{"tf":2.6457513110645907},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.449489742783178},"44":{"tf":1.0},"46":{"tf":1.0},"54":{"tf":1.0},"7":{"tf":1.4142135623730951},"72":{"tf":1.0},"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"14":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":2.0}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":1,"docs":{"167":{"tf":4.898979485566356}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"15":{"tf":1.0},"73":{"tf":1.0},"95":{"tf":2.0}}}}},"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}},"m":{"a":{"df":4,"docs":{"10":{"tf":2.0},"167":{"tf":6.244997998398398},"37":{"tf":1.0},"4":{"tf":1.0}},"n":{"d":{"df":10,"docs":{"113":{"tf":1.0},"152":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"24":{"tf":1.0},"33":{"tf":1.0},"6":{"tf":1.0},"7":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"12":{"tf":2.23606797749979},"120":{"tf":1.0},"135":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":10.488088481701515},"36":{"tf":1.0},"4":{"tf":1.0},"46":{"tf":2.6457513110645907},"47":{"tf":2.449489742783178}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"i":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":2.449489742783178},"145":{"tf":1.7320508075688772},"146":{"tf":2.0}}}},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"124":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"16":{"tf":1.0},"50":{"tf":1.0}}},"t":{"df":6,"docs":{"123":{"tf":1.4142135623730951},"143":{"tf":2.8284271247461903},"161":{"tf":1.7320508075688772},"162":{"tf":1.0},"163":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"113":{"tf":1.0},"35":{"tf":1.0}},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":3,"docs":{"144":{"tf":1.0},"160":{"tf":1.0},"3":{"tf":1.0}}},"x":{"df":2,"docs":{"15":{"tf":1.0},"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"2":{"tf":1.0}}},"s":{"df":2,"docs":{"42":{"tf":1.0},"48":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"67":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"13":{"tf":1.7320508075688772},"4":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":4,"docs":{"114":{"tf":1.0},"15":{"tf":1.7320508075688772},"4":{"tf":1.0},"76":{"tf":2.0}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":4,"docs":{"77":{"tf":1.4142135623730951},"78":{"tf":1.0},"82":{"tf":1.7320508075688772},"94":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":40,"docs":{"11":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":1.7320508075688772},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.4142135623730951},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.4142135623730951},"146":{"tf":1.7320508075688772},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"152":{"tf":1.4142135623730951},"153":{"tf":1.0},"154":{"tf":1.4142135623730951},"155":{"tf":1.0},"156":{"tf":1.4142135623730951},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.4142135623730951},"160":{"tf":1.7320508075688772},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.4142135623730951},"33":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"24":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"42":{"tf":1.0}}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":5,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.0},"11":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"124":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"123":{"tf":1.0},"143":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":15,"docs":{"126":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"144":{"tf":1.0},"148":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"67":{"tf":1.0}},"t":{"df":1,"docs":{"78":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"t":{"df":1,"docs":{"19":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}}}}}}}}},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{":":{":":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"22":{"tf":1.0},"33":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"161":{"tf":1.0}}}}}}}},"d":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"t":{"a":{"df":16,"docs":{"164":{"tf":2.23606797749979},"58":{"tf":2.0},"59":{"tf":1.0},"60":{"tf":2.0},"61":{"tf":2.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.4142135623730951},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0}}},"df":1,"docs":{"164":{"tf":1.0}}}},"df":2,"docs":{"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":2,"docs":{"2":{"tf":1.0},"98":{"tf":1.0}}}}},"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"52":{"tf":1.0}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":29,"docs":{"100":{"tf":1.0},"101":{"tf":1.7320508075688772},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":2.0},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"111":{"tf":2.0},"112":{"tf":2.0},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"133":{"tf":1.4142135623730951},"167":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"83":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":2.0},"92":{"tf":1.7320508075688772},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"95":{"tf":1.4142135623730951},"96":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951},"98":{"tf":1.0},"99":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":20,"docs":{"105":{"tf":1.0},"109":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":1.4142135623730951},"146":{"tf":1.0},"148":{"tf":1.0},"151":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"35":{"tf":1.0},"57":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"87":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":12,"docs":{"114":{"tf":1.0},"118":{"tf":1.0},"120":{"tf":1.0},"14":{"tf":1.0},"20":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"t":{"df":10,"docs":{"106":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"118":{"tf":1.0},"167":{"tf":1.0},"37":{"tf":1.0},"39":{"tf":1.4142135623730951},"40":{"tf":1.0},"41":{"tf":1.0},"48":{"tf":1.0},"9":{"tf":1.0}}}}}}},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"17":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":12,"docs":{"120":{"tf":1.4142135623730951},"140":{"tf":2.0},"141":{"tf":3.4641016151377544},"142":{"tf":2.6457513110645907},"143":{"tf":1.7320508075688772},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"35":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":2.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"y":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":2,"docs":{"17":{"tf":1.0},"63":{"tf":1.0}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":17,"docs":{"0":{"tf":1.7320508075688772},"12":{"tf":1.4142135623730951},"120":{"tf":1.4142135623730951},"125":{"tf":1.7320508075688772},"146":{"tf":1.0},"15":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":2.0},"167":{"tf":1.0},"33":{"tf":1.0},"80":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"1":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":2,"docs":{"143":{"tf":1.0},"164":{"tf":1.7320508075688772}}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":49,"docs":{"119":{"tf":2.23606797749979},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0},"3":{"tf":1.4142135623730951}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"10":{"tf":1.0},"147":{"tf":1.0},"151":{"tf":1.0},"36":{"tf":1.0},"50":{"tf":1.0},"86":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}}}}}},"r":{"df":1,"docs":{"132":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"105":{"tf":1.7320508075688772},"167":{"tf":1.7320508075688772}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":11,"docs":{"132":{"tf":2.23606797749979},"147":{"tf":2.449489742783178},"148":{"tf":3.0},"149":{"tf":2.6457513110645907},"150":{"tf":2.0},"151":{"tf":1.0},"164":{"tf":1.0},"26":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":7,"docs":{"119":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"(":{"\"":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"98":{"tf":1.0}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":3,"docs":{"34":{"tf":1.0},"35":{"tf":1.0},"85":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"84":{"tf":1.0}}}}}},"o":{"c":{"df":3,"docs":{"109":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.4142135623730951}},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":7,"docs":{"109":{"tf":1.0},"12":{"tf":2.23606797749979},"164":{"tf":2.449489742783178},"165":{"tf":1.0},"21":{"tf":1.0},"4":{"tf":1.0},"47":{"tf":2.449489742783178}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"'":{"df":0,"docs":{},"t":{"df":3,"docs":{"142":{"tf":1.0},"151":{"tf":1.0},"97":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"n":{"'":{"df":0,"docs":{},"t":{"df":4,"docs":{"108":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.0},"163":{"tf":1.0}}}},"df":0,"docs":{}},"t":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":3,"docs":{"165":{"tf":1.0},"23":{"tf":1.0},"26":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"132":{"tf":1.0}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"11":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{".":{"df":0,"docs":{},"g":{"df":1,"docs":{"160":{"tf":1.0}}}},"[":{"0":{"]":{"[":{"0":{"]":{"[":{"0":{"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"71":{"tf":1.0}}},"df":0,"docs":{}},"a":{"c":{"df":0,"docs":{},"h":{"df":11,"docs":{"105":{"tf":1.4142135623730951},"114":{"tf":1.0},"124":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"151":{"tf":1.0},"160":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"1":{"tf":1.4142135623730951}},"i":{"df":1,"docs":{"8":{"tf":1.0}}}}},"d":{"a":{"df":1,"docs":{"133":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"129":{"tf":1.7320508075688772}}},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"36":{"tf":1.4142135623730951},"5":{"tf":1.0}},"o":{"df":0,"docs":{},"r":{"'":{"df":1,"docs":{"160":{"tf":1.0}}},"df":6,"docs":{"160":{"tf":1.0},"28":{"tf":1.4142135623730951},"3":{"tf":1.0},"31":{"tf":1.7320508075688772},"5":{"tf":1.0},"6":{"tf":1.0}}}}}}},"df":3,"docs":{"124":{"tf":1.4142135623730951},"71":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"1":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.4142135623730951}}}}}}},"i":{"d":{"df":1,"docs":{"133":{"tf":1.4142135623730951}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"18":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"m":{"a":{"c":{"df":2,"docs":{"3":{"tf":1.0},"31":{"tf":1.0}}},"df":0,"docs":{}},"b":{"df":5,"docs":{"111":{"tf":2.449489742783178},"112":{"tf":1.0},"113":{"tf":1.4142135623730951},"167":{"tf":2.6457513110645907},"7":{"tf":1.0}},"e":{"d":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":3,"docs":{"111":{"tf":1.0},"113":{"tf":1.0},"7":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"n":{"a":{"b":{"df":0,"docs":{},"l":{"df":5,"docs":{"1":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"144":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"d":{"df":11,"docs":{"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.0},"13":{"tf":1.4142135623730951},"17":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"9":{"tf":1.0},"98":{"tf":1.0}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":8,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"21":{"tf":1.4142135623730951},"35":{"tf":1.0},"7":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"3":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"141":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"m":{"a":{":":{":":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":1,"docs":{"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":3,"docs":{"14":{"tf":2.0},"68":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"14":{"tf":2.449489742783178},"154":{"tf":2.0},"167":{"tf":1.4142135623730951},"4":{"tf":1.0},"68":{"tf":2.449489742783178},"71":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"68":{"tf":1.0}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":48,"docs":{"119":{"tf":2.0},"120":{"tf":1.0},"121":{"tf":1.0},"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.0},"155":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"161":{"tf":1.0},"162":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":1.0},"23":{"tf":1.0}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":3.3166247903554}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"(":{"\"":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":27,"docs":{"113":{"tf":1.4142135623730951},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0}}}}}},"s":{"c":{"a":{"df":0,"docs":{},"p":{"df":1,"docs":{"49":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":3,"docs":{"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0}}}}},"df":0,"docs":{}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":17,"docs":{"108":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"165":{"tf":1.0},"22":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":2.6457513110645907},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"40":{"tf":1.0},"5":{"tf":1.0},"9":{"tf":1.0}}}}}},"df":1,"docs":{"31":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"113":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":6,"docs":{"113":{"tf":1.0},"144":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.0},"7":{"tf":1.0},"98":{"tf":1.0}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"108":{"tf":1.0},"150":{"tf":1.4142135623730951},"2":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"1":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"11":{"tf":1.0},"15":{"tf":1.0}},"l":{"df":0,"docs":{},"i":{"df":2,"docs":{"148":{"tf":1.0},"5":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"56":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.0},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"s":{"df":1,"docs":{"21":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":22,"docs":{"114":{"tf":1.0},"126":{"tf":1.0},"154":{"tf":1.0},"16":{"tf":1.7320508075688772},"167":{"tf":7.483314773547883},"4":{"tf":1.0},"48":{"tf":1.0},"57":{"tf":1.0},"73":{"tf":2.23606797749979},"74":{"tf":1.4142135623730951},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.7320508075688772},"78":{"tf":2.8284271247461903},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":2.0},"84":{"tf":1.0},"86":{"tf":1.0},"89":{"tf":1.0},"96":{"tf":1.0}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"6":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"7":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"8":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"1":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}},":":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"79":{"tf":1.0}}}}}}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"df":0,"docs":{}}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"29":{"tf":2.0},"34":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"21":{"tf":1.0}}}}},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"26":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"f":{"3":{"2":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"63":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"]":{"df":0,"docs":{},"{":{"4":{"df":0,"docs":{},"}":{"df":0,"docs":{},"|":{"[":{"^":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"\\":{"df":0,"docs":{},"u":{"0":{"0":{"0":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"10":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":1,"docs":{"167":{"tf":1.7320508075688772}},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"78":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"113":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"s":{"df":4,"docs":{"134":{"tf":1.0},"135":{"tf":1.0},"146":{"tf":1.4142135623730951},"160":{"tf":1.0}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"113":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":2,"docs":{"151":{"tf":1.0},"35":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":21,"docs":{"10":{"tf":1.0},"11":{"tf":1.0},"12":{"tf":1.0},"123":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"20":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":2.0},"5":{"tf":1.0},"6":{"tf":1.4142135623730951},"7":{"tf":1.0},"8":{"tf":1.4142135623730951},"9":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":18,"docs":{"121":{"tf":1.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.4142135623730951},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"129":{"tf":1.7320508075688772},"130":{"tf":1.7320508075688772},"131":{"tf":1.7320508075688772},"132":{"tf":1.7320508075688772},"133":{"tf":1.7320508075688772},"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"141":{"tf":1.0},"143":{"tf":1.4142135623730951},"145":{"tf":1.0},"67":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":13,"docs":{"104":{"tf":1.0},"112":{"tf":1.4142135623730951},"131":{"tf":1.4142135623730951},"132":{"tf":1.0},"148":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"131":{"tf":1.7320508075688772}}}}}},"df":4,"docs":{"120":{"tf":1.0},"131":{"tf":2.0},"150":{"tf":1.0},"35":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"54":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"98":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":7,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"121":{"tf":1.0},"167":{"tf":1.0},"33":{"tf":1.0},"36":{"tf":1.0},"43":{"tf":1.0}}}}},"x":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"131":{"tf":1.4142135623730951}}}}},"i":{"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":2.0},"63":{"tf":2.23606797749979}}}},"df":0,"docs":{},"p":{"df":3,"docs":{"129":{"tf":1.0},"154":{"tf":1.0},"94":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"t":{"df":2,"docs":{"152":{"tf":1.0},"165":{"tf":1.0}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":20,"docs":{"0":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.0},"123":{"tf":1.4142135623730951},"126":{"tf":1.0},"142":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"150":{"tf":1.0},"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"48":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.0}}}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"9":{"tf":1.0}}}}}}}}},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.4142135623730951},"112":{"tf":1.4142135623730951}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":2.0}}},"t":{"df":10,"docs":{"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"124":{"tf":1.0},"131":{"tf":1.0},"136":{"tf":1.7320508075688772},"152":{"tf":2.0},"165":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":2.449489742783178}},"t":{"df":2,"docs":{"136":{"tf":1.0},"152":{"tf":1.7320508075688772}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"df":0,"docs":{},"g":{"a":{"df":1,"docs":{"11":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"124":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"n":{"c":{"a":{":":{":":{"<":{"1":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{"0":{">":{"(":{"1":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":2,"docs":{"115":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"1":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"2":{"0":{"(":{"1":{"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"9":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"(":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"75":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"a":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":3,"docs":{"75":{"tf":1.0},"89":{"tf":1.0},"97":{"tf":1.0}}},"b":{"(":{"a":{"df":1,"docs":{"97":{"tf":1.0}}},"df":0,"docs":{}},"df":1,"docs":{"97":{"tf":1.0}}},"c":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":15,"docs":{"107":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.7320508075688772},"143":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"40":{"tf":1.0},"73":{"tf":1.0},"75":{"tf":2.449489742783178},"85":{"tf":2.23606797749979},"89":{"tf":1.7320508075688772},"9":{"tf":2.0},"90":{"tf":1.0},"97":{"tf":2.8284271247461903}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"2":{"tf":1.0}}}}}}}}}}},"x":{"df":0,"docs":{},"z":{"df":0,"docs":{},"x":{"df":0,"docs":{},"z":{"]":{"+":{"(":{"?":{":":{"_":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.0}}}}}}},"g":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"148":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":27,"docs":{"101":{"tf":2.23606797749979},"109":{"tf":1.0},"11":{"tf":1.0},"114":{"tf":3.3166247903554},"115":{"tf":1.7320508075688772},"116":{"tf":1.7320508075688772},"117":{"tf":1.7320508075688772},"118":{"tf":1.7320508075688772},"12":{"tf":1.0},"122":{"tf":1.0},"128":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"144":{"tf":1.4142135623730951},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951},"161":{"tf":1.0},"163":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":9.746794344808963},"21":{"tf":1.0},"35":{"tf":2.23606797749979},"4":{"tf":1.0},"43":{"tf":1.0},"47":{"tf":1.0},"9":{"tf":2.0}}}}},"t":{"df":14,"docs":{"22":{"tf":1.7320508075688772},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"h":{"df":1,"docs":{"165":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":1,"docs":{"21":{"tf":1.0}}},"t":{"df":2,"docs":{"144":{"tf":2.6457513110645907},"24":{"tf":1.4142135623730951}},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":2.0}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"50":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"36":{"tf":1.0}}}}}}},"h":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"8":{"9":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"f":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}},"n":{"d":{"df":3,"docs":{"114":{"tf":1.0},"141":{"tf":1.4142135623730951},"87":{"tf":1.0}},"l":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"0":{"tf":1.7320508075688772},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"164":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"93":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.7320508075688772}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":2,"docs":{"34":{"tf":1.0},"35":{"tf":1.0}}}}}}}},"]":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"f":{"df":1,"docs":{"35":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"s":{"df":0,"docs":{},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"35":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"35":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":5,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":2.449489742783178},"34":{"tf":1.0},"35":{"tf":1.0},"49":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":7,"docs":{"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"33":{"tf":1.0}}}},"x":{"df":1,"docs":{"52":{"tf":1.0}}}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"h":{"df":4,"docs":{"130":{"tf":1.4142135623730951},"2":{"tf":1.0},"3":{"tf":1.0},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":2,"docs":{"29":{"tf":1.0},"30":{"tf":1.0}}}}}}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"34":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{":":{"/":{"/":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{".":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"/":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"a":{"c":{"df":0,"docs":{},"e":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"/":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"127":{"tf":1.0},"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"i":{"3":{"2":{"df":3,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"_":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"p":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":12,"docs":{"11":{"tf":1.7320508075688772},"13":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"19":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"90":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772}}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"[":{"0":{"df":1,"docs":{"37":{"tf":1.0}}},"1":{"df":1,"docs":{"37":{"tf":1.0}}},"df":0,"docs":{}},"df":4,"docs":{"164":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"a":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.0}}}},"df":1,"docs":{"72":{"tf":1.4142135623730951}}},"df":1,"docs":{"72":{"tf":1.0}},"n":{"df":1,"docs":{"11":{"tf":1.7320508075688772}}},"s":{"_":{"df":0,"docs":{},"n":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":8,"docs":{"11":{"tf":1.0},"142":{"tf":1.4142135623730951},"164":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"39":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"37":{"tf":1.4142135623730951}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"f":{"df":1,"docs":{"101":{"tf":1.0}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":5,"docs":{"105":{"tf":1.4142135623730951},"113":{"tf":1.0},"114":{"tf":1.7320508075688772},"167":{"tf":6.4031242374328485},"48":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}}},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":1,"docs":{"63":{"tf":1.0}}}}},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":4,"docs":{"11":{"tf":1.0},"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"94":{"tf":2.23606797749979}}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"151":{"tf":1.0},"85":{"tf":1.0},"98":{"tf":1.0}}}}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"21":{"tf":1.0}}}}}}},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":3,"docs":{"120":{"tf":1.0},"133":{"tf":2.0},"163":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"120":{"tf":1.0}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":3.4641016151377544},"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":3,"docs":{"1":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":9,"docs":{"1":{"tf":1.0},"112":{"tf":2.0},"119":{"tf":1.0},"124":{"tf":1.0},"131":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":1.0},"3":{"tf":1.0},"8":{"tf":1.0}},"e":{"(":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"112":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"8":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"123":{"tf":1.7320508075688772}}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"152":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}},"df":1,"docs":{"152":{"tf":1.0}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"147":{"tf":1.0},"34":{"tf":1.0}}},"df":0,"docs":{}}}}},"i":{"c":{"df":2,"docs":{"18":{"tf":1.0},"5":{"tf":1.0}}},"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":3,"docs":{"103":{"tf":1.0},"14":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.4142135623730951}}}},"o":{"df":5,"docs":{"113":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":2.449489742783178},"33":{"tf":1.0},"35":{"tf":1.4142135623730951}},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"143":{"tf":1.0},"144":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":9,"docs":{"113":{"tf":1.0},"167":{"tf":10.488088481701515},"34":{"tf":1.0},"35":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":2.23606797749979}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"7":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":3,"docs":{"105":{"tf":1.0},"154":{"tf":2.0},"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":22,"docs":{"10":{"tf":2.23606797749979},"102":{"tf":1.4142135623730951},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"11":{"tf":2.0},"115":{"tf":1.0},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":2.0},"167":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":2.0},"38":{"tf":2.23606797749979},"39":{"tf":2.449489742783178},"40":{"tf":1.0},"72":{"tf":2.8284271247461903},"75":{"tf":1.4142135623730951},"84":{"tf":1.0},"9":{"tf":1.7320508075688772},"90":{"tf":1.0},"94":{"tf":1.4142135623730951},"97":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.6457513110645907},"4":{"tf":1.0},"82":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":10,"docs":{"22":{"tf":1.0},"23":{"tf":2.0},"24":{"tf":1.0},"25":{"tf":1.7320508075688772},"26":{"tf":1.0},"27":{"tf":1.7320508075688772},"28":{"tf":1.0},"29":{"tf":1.4142135623730951},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"n":{"c":{"df":3,"docs":{"102":{"tf":1.4142135623730951},"154":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"102":{"tf":2.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"9":{"tf":1.0},"93":{"tf":1.0}}}}}},"b":{"df":1,"docs":{"102":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":7,"docs":{"102":{"tf":1.7320508075688772},"108":{"tf":1.4142135623730951},"116":{"tf":1.7320508075688772},"142":{"tf":1.0},"167":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}},"e":{"a":{"d":{"df":4,"docs":{"16":{"tf":1.0},"23":{"tf":1.0},"36":{"tf":1.0},"92":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"30":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":1,"docs":{"17":{"tf":1.0}},"e":{"df":0,"docs":{},"g":{"df":4,"docs":{"152":{"tf":1.0},"52":{"tf":1.7320508075688772},"54":{"tf":1.7320508075688772},"62":{"tf":2.6457513110645907}},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"df":14,"docs":{"110":{"tf":1.7320508075688772},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":2.0},"147":{"tf":1.0},"2":{"tf":1.4142135623730951},"28":{"tf":1.4142135623730951},"29":{"tf":1.0},"30":{"tf":1.0},"34":{"tf":1.0},"4":{"tf":1.0},"6":{"tf":1.0},"68":{"tf":1.0},"7":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":12,"docs":{"102":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":2.8284271247461903},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"36":{"tf":1.0},"39":{"tf":2.449489742783178},"42":{"tf":1.7320508075688772}},"e":{"a":{":":{":":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":4,"docs":{"106":{"tf":1.0},"109":{"tf":1.0},"39":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"n":{"df":3,"docs":{"105":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.7320508075688772},"2":{"tf":1.7320508075688772}}}}}},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"v":{"df":2,"docs":{"17":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951}}}}},"f":{"_":{"a":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"39":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"l":{"df":0,"docs":{},"v":{"df":1,"docs":{"39":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":1,"docs":{"4":{"tf":1.0}},"t":{"df":4,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"2":{"tf":1.0},"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.4142135623730951}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":1,"docs":{"17":{"tf":1.0}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"'":{"df":1,"docs":{"114":{"tf":1.0}}},"0":{"df":1,"docs":{"164":{"tf":1.0}}},"1":{"df":1,"docs":{"164":{"tf":1.0}}},"df":6,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.7320508075688772},"114":{"tf":1.4142135623730951},"16":{"tf":1.0}}}}}},"j":{"df":0,"docs":{},"o":{"b":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"u":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"143":{"tf":1.0}}}},"df":0,"docs":{}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"y":{"df":1,"docs":{"132":{"tf":1.0}},"w":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":17,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"109":{"tf":1.0},"167":{"tf":1.0},"21":{"tf":1.0},"38":{"tf":1.0},"57":{"tf":1.4142135623730951},"70":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}},"df":0,"docs":{}}}}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"65":{"tf":1.0}}},"df":0,"docs":{}}}},"l":{"a":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"103":{"tf":1.0}}},"b":{"df":1,"docs":{"103":{"tf":1.0}}},"df":2,"docs":{"101":{"tf":2.0},"103":{"tf":1.0}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"/":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":2,"docs":{"141":{"tf":1.7320508075688772},"8":{"tf":1.0}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"165":{"tf":2.23606797749979}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}},"df":1,"docs":{"111":{"tf":1.4142135623730951}},"l":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"u":{"a":{"df":0,"docs":{},"g":{"df":85,"docs":{"0":{"tf":1.7320508075688772},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":2.0},"111":{"tf":1.7320508075688772},"112":{"tf":1.7320508075688772},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"16":{"tf":1.0}}}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"10":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"165":{"tf":1.4142135623730951}}}}}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"41":{"tf":1.0}}}},"df":0,"docs":{}}},"n":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"24":{"tf":1.0}}}},"df":0,"docs":{}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":5,"docs":{"147":{"tf":2.449489742783178},"148":{"tf":1.0},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"151":{"tf":1.0}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.557438524302}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"a":{"d":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"1":{"tf":1.0}}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"74":{"tf":3.605551275463989},"78":{"tf":1.0},"84":{"tf":1.4142135623730951}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"71":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"50":{"tf":1.0}}}},"t":{"'":{"df":2,"docs":{"22":{"tf":1.0},"36":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"114":{"tf":1.0}},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"i":{"c":{"df":15,"docs":{"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"b":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.0},"140":{"tf":1.0},"8":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":2,"docs":{"120":{"tf":1.4142135623730951},"126":{"tf":2.449489742783178}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"20":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"df":3,"docs":{"46":{"tf":2.0},"47":{"tf":1.0},"6":{"tf":1.0}}},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":3,"docs":{"120":{"tf":1.4142135623730951},"137":{"tf":1.7320508075688772},"153":{"tf":1.7320508075688772}},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"137":{"tf":1.0},"153":{"tf":1.7320508075688772},"154":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"t":{"df":6,"docs":{"10":{"tf":1.0},"12":{"tf":1.4142135623730951},"124":{"tf":1.4142135623730951},"133":{"tf":1.0},"150":{"tf":1.0},"164":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"57":{"tf":2.23606797749979}}}}}},"n":{"df":0,"docs":{},"u":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"o":{"c":{"a":{"df":0,"docs":{},"l":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":14,"docs":{"104":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":1.4142135623730951},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"37":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"65":{"tf":2.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"g":{"df":1,"docs":{"113":{"tf":1.0}},"i":{"c":{"<":{"1":{"0":{"df":25,"docs":{"100":{"tf":1.4142135623730951},"101":{"tf":1.0},"102":{"tf":2.0},"103":{"tf":1.4142135623730951},"115":{"tf":1.0},"36":{"tf":1.4142135623730951},"40":{"tf":1.4142135623730951},"60":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"76":{"tf":1.4142135623730951},"77":{"tf":1.4142135623730951},"78":{"tf":1.7320508075688772},"79":{"tf":1.7320508075688772},"81":{"tf":1.7320508075688772},"84":{"tf":2.23606797749979},"86":{"tf":1.4142135623730951},"87":{"tf":1.4142135623730951},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"92":{"tf":1.4142135623730951},"94":{"tf":1.7320508075688772},"95":{"tf":1.4142135623730951},"96":{"tf":1.0},"97":{"tf":2.23606797749979}}},"df":0,"docs":{}},"2":{"0":{"df":1,"docs":{"115":{"tf":1.0}}},"df":3,"docs":{"14":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.4142135623730951}}},"3":{"2":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"4":{"df":1,"docs":{"69":{"tf":1.0}}},"8":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":5,"docs":{"164":{"tf":1.4142135623730951},"37":{"tf":2.449489742783178},"38":{"tf":2.449489742783178},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":2,"docs":{"115":{"tf":1.4142135623730951},"9":{"tf":1.4142135623730951}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"[":{"1":{":":{"0":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":29,"docs":{"1":{"tf":1.0},"10":{"tf":1.7320508075688772},"105":{"tf":2.23606797749979},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"11":{"tf":1.4142135623730951},"142":{"tf":1.4142135623730951},"15":{"tf":1.0},"16":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"19":{"tf":1.4142135623730951},"37":{"tf":1.0},"50":{"tf":1.4142135623730951},"57":{"tf":1.7320508075688772},"60":{"tf":1.7320508075688772},"65":{"tf":1.0},"67":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":2.8284271247461903},"72":{"tf":1.7320508075688772},"75":{"tf":2.0},"76":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951},"9":{"tf":2.449489742783178},"90":{"tf":2.449489742783178},"92":{"tf":1.4142135623730951},"98":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"88":{"tf":1.4142135623730951}}}},"w":{"df":2,"docs":{"130":{"tf":1.4142135623730951},"72":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"74":{"tf":1.0}}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":2,"docs":{"160":{"tf":1.0},"27":{"tf":1.0}}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.4142135623730951}}}},"j":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951},"3":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"e":{"df":2,"docs":{"143":{"tf":1.4142135623730951},"18":{"tf":1.0}}}},"n":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"3":{"tf":1.0},"4":{"tf":1.0},"8":{"tf":1.7320508075688772}}}},"d":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":5,"docs":{"121":{"tf":1.0},"37":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":2,"docs":{"114":{"tf":1.0},"141":{"tf":1.0}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"143":{"tf":1.0}}}}}},"p":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}},"r":{"df":0,"docs":{},"k":{"d":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.4142135623730951},"125":{"tf":1.0},"164":{"tf":1.0}}}}}},"df":1,"docs":{"113":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"167":{"tf":1.0},"78":{"tf":1.0},"82":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"144":{"tf":1.0}},"e":{"a":{"df":0,"docs":{},"n":{"df":10,"docs":{"109":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"154":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.0},"81":{"tf":1.4142135623730951},"92":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"a":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"b":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"c":{"df":2,"docs":{"67":{"tf":1.0},"68":{"tf":1.0}}},"df":0,"docs":{}},"a":{"df":1,"docs":{"14":{"tf":1.7320508075688772}}},"b":{"df":1,"docs":{"14":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"113":{"tf":1.0},"146":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"a":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.4142135623730951}}}},"u":{"df":0,"docs":{},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.4142135623730951}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.4142135623730951}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}},"t":{"df":1,"docs":{"126":{"tf":1.0}}}},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":5,"docs":{"105":{"tf":1.4142135623730951},"106":{"tf":2.0},"154":{"tf":2.8284271247461903},"167":{"tf":1.7320508075688772},"39":{"tf":2.449489742783178}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":1,"docs":{"102":{"tf":1.0}}},"df":71,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.4142135623730951},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":2.449489742783178},"106":{"tf":1.4142135623730951},"108":{"tf":1.0},"109":{"tf":1.4142135623730951},"11":{"tf":1.7320508075688772},"111":{"tf":1.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":2.23606797749979},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.7320508075688772},"142":{"tf":1.7320508075688772},"154":{"tf":2.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.7320508075688772},"21":{"tf":2.23606797749979},"34":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.4142135623730951},"37":{"tf":2.6457513110645907},"38":{"tf":1.7320508075688772},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":2.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"9":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.7320508075688772},"94":{"tf":1.4142135623730951},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}},"e":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"112":{"tf":1.0}}}}},"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.4142135623730951}},"e":{"/":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"104":{"tf":1.0},"134":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"_":{"a":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"b":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}},"c":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":3,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":59,"docs":{"10":{"tf":1.4142135623730951},"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"108":{"tf":1.4142135623730951},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"34":{"tf":1.4142135623730951},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.0},"39":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"57":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"72":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0}}},"b":{":":{":":{"<":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"116":{"tf":1.0}},"e":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"t":{"df":1,"docs":{"116":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":4,"docs":{"102":{"tf":1.4142135623730951},"21":{"tf":1.4142135623730951},"38":{"tf":1.4142135623730951},"42":{"tf":1.0}}},"c":{"df":2,"docs":{"116":{"tf":1.0},"38":{"tf":1.4142135623730951}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"i":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"d":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"111":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":1,"docs":{"9":{"tf":1.0}}}},"u":{"d":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"s":{"df":1,"docs":{"34":{"tf":1.0}}}}},"s":{"b":{"df":4,"docs":{"167":{"tf":1.4142135623730951},"18":{"tf":1.7320508075688772},"4":{"tf":1.0},"81":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":5,"docs":{"150":{"tf":1.4142135623730951},"46":{"tf":1.4142135623730951},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"84":{"tf":1.0}}}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"]":{":":{":":{"[":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"68":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":27,"docs":{"102":{"tf":1.7320508075688772},"103":{"tf":2.0},"113":{"tf":1.4142135623730951},"114":{"tf":1.0},"12":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"122":{"tf":2.0},"124":{"tf":1.4142135623730951},"126":{"tf":1.0},"134":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":2.449489742783178},"142":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"164":{"tf":2.0},"165":{"tf":1.7320508075688772},"20":{"tf":1.7320508075688772},"33":{"tf":1.0},"36":{"tf":1.0},"4":{"tf":1.0},"42":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"9":{"tf":1.4142135623730951},"90":{"tf":1.0},"92":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":5,"docs":{"103":{"tf":1.0},"108":{"tf":1.0},"14":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"4":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":2,"docs":{"45":{"tf":1.0},"49":{"tf":1.0}},"e":{"df":0,"docs":{},"e":{"d":{"df":2,"docs":{"11":{"tf":1.0},"18":{"tf":1.0}}},"df":0,"docs":{}},"g":{"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}},"e":{"d":{"df":0,"docs":{},"g":{"df":2,"docs":{"11":{"tf":1.0},"129":{"tf":1.0}}}},"df":0,"docs":{},"t":{"df":1,"docs":{"129":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"28":{"tf":1.0},"30":{"tf":2.0}}}}}},"w":{"df":1,"docs":{"33":{"tf":1.7320508075688772}}}},"o":{"df":0,"docs":{},"n":{"df":2,"docs":{"13":{"tf":1.4142135623730951},"37":{"tf":1.0}},"e":{"df":1,"docs":{"74":{"tf":1.4142135623730951}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":4,"docs":{"17":{"tf":1.0},"18":{"tf":1.7320508075688772},"38":{"tf":1.0},"4":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":2,"docs":{"142":{"tf":1.0},"34":{"tf":1.0}}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"5":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":10,"docs":{"123":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":2.23606797749979},"48":{"tf":1.4142135623730951},"51":{"tf":1.7320508075688772},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"1":{"tf":1.0},"114":{"tf":1.0}}}}}}},"o":{"0":{"1":{"2":{"3":{"4":{"5":{"6":{"7":{"df":1,"docs":{"54":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":4,"docs":{"164":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":1,"docs":{"142":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"c":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"92":{"tf":1.0}}}}},"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"52":{"tf":1.0}}}},"b":{"df":0,"docs":{},"y":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":1,"docs":{"10":{"tf":1.4142135623730951}},"f":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":2,"docs":{"165":{"tf":1.0},"28":{"tf":1.0}}}},"df":0,"docs":{}}}},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":2,"docs":{"120":{"tf":1.0},"134":{"tf":2.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":8,"docs":{"101":{"tf":1.0},"11":{"tf":1.0},"120":{"tf":1.0},"134":{"tf":1.0},"37":{"tf":1.0},"54":{"tf":1.4142135623730951},"94":{"tf":1.0},"97":{"tf":1.0}}}}},"n":{"df":4,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"68":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}},"r":{"a":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"76":{"tf":1.0},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"0":{"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"2":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"3":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"4":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"5":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"6":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"7":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"8":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"9":{"df":1,"docs":{"167":{"tf":1.7320508075688772}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"1":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"1":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":12,"docs":{"13":{"tf":2.0},"16":{"tf":1.0},"160":{"tf":1.0},"167":{"tf":1.0},"4":{"tf":1.0},"50":{"tf":2.449489742783178},"67":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":2.23606797749979},"79":{"tf":1.0},"80":{"tf":1.4142135623730951},"84":{"tf":1.4142135623730951}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":2,"docs":{"1":{"tf":2.0},"147":{"tf":1.0}}},"o":{"df":0,"docs":{},"n":{"df":6,"docs":{"124":{"tf":1.0},"145":{"tf":1.0},"155":{"tf":1.0},"37":{"tf":1.0},"72":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}}},"r":{"df":0,"docs":{},"g":{"a":{"df":0,"docs":{},"n":{"df":2,"docs":{"107":{"tf":1.0},"124":{"tf":1.0}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"68":{"tf":1.0},"82":{"tf":1.0}}}}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":14,"docs":{"10":{"tf":1.0},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"120":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.7320508075688772},"167":{"tf":1.4142135623730951},"35":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.4142135623730951},"46":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":5,"docs":{"167":{"tf":1.4142135623730951},"17":{"tf":2.0},"21":{"tf":1.0},"4":{"tf":1.0},"82":{"tf":2.23606797749979}},"e":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"15":{"tf":1.0}},"r":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"93":{"tf":1.4142135623730951}}}}},"df":6,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"114":{"tf":1.0},"38":{"tf":1.0},"9":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":15,"docs":{"104":{"tf":2.6457513110645907},"107":{"tf":2.6457513110645907},"109":{"tf":1.4142135623730951},"114":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"142":{"tf":1.0},"154":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"3":{"tf":1.0},"36":{"tf":1.0},"40":{"tf":2.23606797749979},"42":{"tf":1.7320508075688772},"75":{"tf":1.0}},"e":{"a":{":":{":":{"<":{"1":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"2":{">":{":":{":":{"df":0,"docs":{},"x":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":1,"docs":{"117":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"a":{"(":{"a":{"df":1,"docs":{"40":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"a":{"(":{"1":{"df":1,"docs":{"75":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":3,"docs":{"104":{"tf":1.0},"107":{"tf":1.0},"40":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":7,"docs":{"104":{"tf":2.23606797749979},"107":{"tf":1.0},"109":{"tf":1.0},"118":{"tf":1.0},"40":{"tf":1.0},"42":{"tf":1.0},"75":{"tf":1.0}}},"b":{"df":1,"docs":{"104":{"tf":1.0}}},"c":{"df":1,"docs":{"104":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"df":2,"docs":{"37":{"tf":1.0},"69":{"tf":1.7320508075688772}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":2,"docs":{"165":{"tf":1.0},"26":{"tf":1.0}},"s":{"@":{"df":0,"docs":{},"v":{"3":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}}},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":11,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.7320508075688772},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":2.0},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"b":{"df":9,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":1.0},"106":{"tf":1.0},"164":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"39":{"tf":1.0},"40":{"tf":1.0},"93":{"tf":1.0}}},"c":{"df":2,"docs":{"37":{"tf":1.0},"39":{"tf":1.0}}},"df":10,"docs":{"102":{"tf":1.7320508075688772},"105":{"tf":1.7320508075688772},"106":{"tf":1.4142135623730951},"164":{"tf":1.0},"167":{"tf":1.4142135623730951},"37":{"tf":1.4142135623730951},"38":{"tf":2.0},"39":{"tf":1.4142135623730951},"65":{"tf":1.0},"93":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":14,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":2.0},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"114":{"tf":3.1622776601683795},"120":{"tf":1.0},"133":{"tf":1.4142135623730951},"154":{"tf":2.0},"163":{"tf":2.23606797749979},"18":{"tf":1.0},"37":{"tf":1.0},"38":{"tf":1.4142135623730951},"9":{"tf":1.0},"93":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"114":{"tf":1.0},"9":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"167":{"tf":1.4142135623730951},"45":{"tf":1.0}}}}},"t":{"df":2,"docs":{"143":{"tf":1.0},"43":{"tf":1.0}},"i":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"2":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"c":{"df":0,"docs":{},"h":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":11,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951},"132":{"tf":1.7320508075688772},"141":{"tf":1.4142135623730951},"144":{"tf":1.7320508075688772},"145":{"tf":2.0},"149":{"tf":1.0},"164":{"tf":1.7320508075688772},"26":{"tf":1.0},"35":{"tf":1.4142135623730951},"8":{"tf":1.0}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"104":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.0}}}}}}},"u":{"df":0,"docs":{},"s":{"df":1,"docs":{"21":{"tf":1.0}}}}},"df":1,"docs":{"164":{"tf":1.0}},"e":{"a":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"124":{"tf":1.0}}}}}},"h":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}},"df":0,"docs":{}},"l":{"a":{"c":{"df":0,"docs":{},"e":{"df":14,"docs":{"10":{"tf":1.0},"102":{"tf":1.4142135623730951},"104":{"tf":1.0},"105":{"tf":1.0},"114":{"tf":1.0},"132":{"tf":1.0},"149":{"tf":1.0},"57":{"tf":1.0},"77":{"tf":1.0},"86":{"tf":1.0},"88":{"tf":1.4142135623730951},"90":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"12":{"tf":1.0}}}},"n":{"df":0,"docs":{},"e":{"df":2,"docs":{"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}},"y":{"b":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"21":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":5,"docs":{"142":{"tf":1.0},"34":{"tf":1.0},"36":{"tf":1.0},"5":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"s":{"df":6,"docs":{"143":{"tf":1.0},"164":{"tf":1.0},"21":{"tf":1.0},"24":{"tf":1.0},"34":{"tf":1.0},"50":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":2,"docs":{"160":{"tf":1.0},"30":{"tf":2.0}}}}},"s":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.7320508075688772},"63":{"tf":2.23606797749979}}}}},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"11":{"tf":1.0},"130":{"tf":1.0},"72":{"tf":2.449489742783178}}}},"df":0,"docs":{}},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"r":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"37":{"tf":1.0},"94":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}},"e":{"]":{":":{"[":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"38":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"38":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}},"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":6,"docs":{"102":{"tf":1.4142135623730951},"105":{"tf":3.0},"106":{"tf":1.4142135623730951},"154":{"tf":4.0},"38":{"tf":1.7320508075688772},"39":{"tf":1.0}}}},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":4,"docs":{"11":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"19":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"t":{"df":5,"docs":{"11":{"tf":1.0},"114":{"tf":1.0},"129":{"tf":1.0},"34":{"tf":1.0},"72":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"17":{"tf":1.0},"6":{"tf":1.0}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"114":{"tf":1.0}}}}},"w":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"3":{"tf":1.0}}}}}},"r":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":2.23606797749979}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":6,"docs":{"120":{"tf":1.0},"122":{"tf":1.0},"134":{"tf":1.0},"154":{"tf":3.872983346207417},"42":{"tf":1.0},"5":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"109":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"j":{".":{"df":0,"docs":{},"f":{"df":3,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"o":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":3,"docs":{"1":{"tf":1.0},"3":{"tf":1.0},"35":{"tf":1.0}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"]":{"/":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":2,"docs":{"144":{"tf":1.7320508075688772},"145":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":1,"docs":{"145":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}},"_":{"df":1,"docs":{"42":{"tf":1.0}}},"df":39,"docs":{"109":{"tf":1.7320508075688772},"114":{"tf":1.0},"119":{"tf":1.0},"120":{"tf":3.4641016151377544},"121":{"tf":2.0},"122":{"tf":1.4142135623730951},"123":{"tf":1.7320508075688772},"124":{"tf":1.7320508075688772},"125":{"tf":1.4142135623730951},"126":{"tf":1.7320508075688772},"127":{"tf":1.7320508075688772},"128":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.4142135623730951},"135":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"141":{"tf":1.7320508075688772},"144":{"tf":2.0},"145":{"tf":1.0},"146":{"tf":1.0},"147":{"tf":1.7320508075688772},"150":{"tf":1.4142135623730951},"151":{"tf":1.0},"164":{"tf":1.0},"2":{"tf":1.0},"21":{"tf":1.4142135623730951},"22":{"tf":1.0},"33":{"tf":2.449489742783178},"34":{"tf":1.7320508075688772},"42":{"tf":1.4142135623730951},"8":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"d":{"df":8,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"151":{"tf":1.0},"165":{"tf":1.4142135623730951},"29":{"tf":1.4142135623730951},"3":{"tf":1.0},"30":{"tf":1.4142135623730951},"31":{"tf":1.0}}},"df":0,"docs":{}}}}},"u":{"b":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"167":{"tf":2.0},"21":{"tf":1.4142135623730951}},"l":{"df":0,"docs":{},"i":{"c":{"df":2,"docs":{"109":{"tf":1.4142135623730951},"164":{"tf":1.0}}},"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.0}},"h":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"g":{"df":1,"docs":{"146":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":2,"docs":{"144":{"tf":1.0},"146":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"165":{"tf":1.0}}}}},"df":0,"docs":{}},"df":6,"docs":{"120":{"tf":1.4142135623730951},"139":{"tf":2.0},"144":{"tf":3.872983346207417},"145":{"tf":2.449489742783178},"146":{"tf":2.23606797749979},"165":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.4142135623730951}}}}}}}}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"165":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"h":{"(":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":2,"docs":{"144":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772}}}},"t":{"df":1,"docs":{"34":{"tf":1.0}}}}},"q":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"r":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"r":{"df":0,"docs":{},"|":{"\\":{"df":0,"docs":{},"n":{"df":0,"docs":{},"|":{"$":{")":{")":{"df":0,"docs":{},"|":{"(":{"?":{":":{"(":{"?":{"df":0,"docs":{},"m":{"df":0,"docs":{},"s":{")":{"/":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{".":{"*":{"?":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"2":{"a":{"df":0,"docs":{},"}":{"/":{")":{")":{"\\":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"_":{"d":{"a":{"df":0,"docs":{},"t":{"a":{"0":{"df":1,"docs":{"37":{"tf":2.23606797749979}}},"1":{"df":2,"docs":{"37":{"tf":2.0},"38":{"tf":1.7320508075688772}}},"2":{"df":2,"docs":{"37":{"tf":1.4142135623730951},"38":{"tf":1.7320508075688772}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":7,"docs":{"167":{"tf":2.449489742783178},"17":{"tf":1.7320508075688772},"4":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":2.6457513110645907},"82":{"tf":1.0},"88":{"tf":1.0}},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"l":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"b":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"167":{"tf":6.6332495807108}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":2.449489742783178}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"b":{"df":0,"docs":{},"i":{"d":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"154":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":1,"docs":{"154":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}}}},"w":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}}},"a":{"d":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"15":{"tf":1.0},"16":{"tf":1.0},"2":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"df":4,"docs":{"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"72":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"d":{"df":0,"docs":{},"u":{"c":{"df":2,"docs":{"10":{"tf":1.0},"50":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":79,"docs":{"100":{"tf":1.0},"101":{"tf":1.0},"102":{"tf":1.0},"103":{"tf":1.0},"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"110":{"tf":1.0},"111":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"164":{"tf":1.0},"41":{"tf":1.7320508075688772},"42":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0},"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.0},"66":{"tf":1.0},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0},"71":{"tf":1.0},"72":{"tf":1.0},"73":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.0},"80":{"tf":1.0},"81":{"tf":1.0},"82":{"tf":1.0},"83":{"tf":1.0},"84":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"91":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.0},"94":{"tf":1.0},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0},"98":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"21":{"tf":1.0}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":1,"docs":{"154":{"tf":7.745966692414834}}}},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.0}}}},"df":0,"docs":{}}}}},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":3,"docs":{"11":{"tf":1.0},"154":{"tf":2.23606797749979},"94":{"tf":2.449489742783178}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":2,"docs":{"154":{"tf":1.0},"48":{"tf":1.0}}}},"df":0,"docs":{}}}},"l":{"df":2,"docs":{"112":{"tf":1.0},"131":{"tf":1.4142135623730951}},"e":{"a":{"df":0,"docs":{},"s":{"df":4,"docs":{"126":{"tf":1.0},"144":{"tf":1.7320508075688772},"145":{"tf":1.0},"26":{"tf":1.0}}}},"df":0,"docs":{}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":1,"docs":{"1":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"84":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":1,"docs":{"10":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":5,"docs":{"15":{"tf":2.23606797749979},"167":{"tf":1.7320508075688772},"4":{"tf":1.0},"57":{"tf":1.4142135623730951},"76":{"tf":2.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":2,"docs":{"15":{"tf":1.0},"88":{"tf":1.0}}}}}},"l":{"a":{"c":{"df":1,"docs":{"2":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":3,"docs":{"120":{"tf":1.4142135623730951},"127":{"tf":2.23606797749979},"8":{"tf":1.0}}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"143":{"tf":1.0}}}}}}},"s":{"df":14,"docs":{"100":{"tf":1.0},"102":{"tf":1.0},"104":{"tf":1.0},"17":{"tf":1.0},"36":{"tf":1.0},"57":{"tf":1.0},"63":{"tf":1.0},"65":{"tf":1.0},"72":{"tf":1.4142135623730951},"76":{"tf":1.0},"77":{"tf":1.0},"88":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0}}}}}},"q":{"df":1,"docs":{"164":{"tf":1.0}},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"r":{"df":8,"docs":{"101":{"tf":1.0},"143":{"tf":2.23606797749979},"154":{"tf":3.872983346207417},"160":{"tf":1.0},"24":{"tf":1.4142135623730951},"37":{"tf":1.0},"72":{"tf":1.0},"77":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":2,"docs":{"120":{"tf":1.0},"130":{"tf":1.7320508075688772}}}}}},"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}}},"df":12,"docs":{"11":{"tf":3.0},"120":{"tf":1.0},"130":{"tf":1.0},"142":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"37":{"tf":3.0},"38":{"tf":1.0},"39":{"tf":1.0},"4":{"tf":1.0},"72":{"tf":3.7416573867739413},"94":{"tf":3.1622776601683795}},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"142":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":2,"docs":{"1":{"tf":1.0},"142":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"2":{"tf":1.0}}}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":9,"docs":{"115":{"tf":1.0},"167":{"tf":1.4142135623730951},"40":{"tf":1.0},"78":{"tf":1.4142135623730951},"82":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":2.8284271247461903},"9":{"tf":1.7320508075688772},"97":{"tf":1.7320508075688772}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"df":0,"docs":{},"s":{"a":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"72":{"tf":1.0},"9":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":1,"docs":{"144":{"tf":1.4142135623730951}}}}}},"i":{"c":{"df":0,"docs":{},"h":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":0,"docs":{},"t":{"df":4,"docs":{"141":{"tf":1.0},"78":{"tf":1.0},"84":{"tf":1.4142135623730951},"87":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.4641016151377544}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":0,"docs":{}},"t":{"df":0,"docs":{},"l":{"df":3,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"155":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":2.6457513110645907}}},"s":{"df":0,"docs":{},"t":{"'":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"df":2,"docs":{"143":{"tf":1.0},"23":{"tf":1.0}}}}}},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":16,"docs":{"106":{"tf":1.0},"11":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"145":{"tf":1.4142135623730951},"148":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"38":{"tf":1.0},"50":{"tf":1.7320508075688772},"69":{"tf":1.0},"74":{"tf":1.0},"81":{"tf":1.0},"93":{"tf":1.0}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"42":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"70":{"tf":1.0}},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":2.8284271247461903}}}}}}}}},"df":0,"docs":{}}},"df":2,"docs":{"104":{"tf":1.0},"20":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"154":{"tf":1.0}},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"r":{"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"144":{"tf":1.0},"29":{"tf":1.0}}}},"df":0,"docs":{}}},"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{".":{"df":0,"docs":{},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":25,"docs":{"121":{"tf":1.7320508075688772},"128":{"tf":1.7320508075688772},"136":{"tf":1.7320508075688772},"137":{"tf":1.7320508075688772},"138":{"tf":1.7320508075688772},"139":{"tf":1.7320508075688772},"140":{"tf":1.7320508075688772},"141":{"tf":1.0},"143":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"147":{"tf":1.0},"149":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"154":{"tf":1.7320508075688772},"155":{"tf":1.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.7320508075688772},"158":{"tf":1.7320508075688772},"159":{"tf":1.7320508075688772},"164":{"tf":1.0},"22":{"tf":1.0},"77":{"tf":1.0},"94":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":3,"docs":{"113":{"tf":1.0},"143":{"tf":1.0},"164":{"tf":1.0}}},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"143":{"tf":1.0},"167":{"tf":2.449489742783178},"79":{"tf":2.449489742783178},"81":{"tf":1.0}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":29,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"168":{"tf":1.7320508075688772},"169":{"tf":1.0},"170":{"tf":1.0},"171":{"tf":1.0},"172":{"tf":1.0},"173":{"tf":1.0},"174":{"tf":1.0},"175":{"tf":1.0},"176":{"tf":1.0},"177":{"tf":1.0},"178":{"tf":1.0},"179":{"tf":1.0},"180":{"tf":1.0},"181":{"tf":1.0},"182":{"tf":1.0},"183":{"tf":1.0},"184":{"tf":1.0},"185":{"tf":1.0},"186":{"tf":1.0},"187":{"tf":1.0},"188":{"tf":1.0},"189":{"tf":1.0},"190":{"tf":1.0},"191":{"tf":1.0},"192":{"tf":1.0},"193":{"tf":1.0},"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":3.7416573867739413}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"p":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"c":{"df":1,"docs":{"144":{"tf":1.0}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":6,"docs":{"152":{"tf":1.0},"153":{"tf":1.0},"160":{"tf":2.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.4142135623730951}}}}}},"t":{"df":9,"docs":{"120":{"tf":2.23606797749979},"134":{"tf":1.0},"135":{"tf":1.0},"21":{"tf":1.0},"3":{"tf":1.0},"53":{"tf":1.4142135623730951},"55":{"tf":1.7320508075688772},"68":{"tf":1.0},"8":{"tf":1.0}}}},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"t":{"df":2,"docs":{"50":{"tf":1.0},"84":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"125":{"tf":1.0}}}},"w":{"df":6,"docs":{"111":{"tf":1.0},"143":{"tf":1.0},"148":{"tf":1.0},"36":{"tf":1.4142135623730951},"43":{"tf":1.0},"48":{"tf":1.0}},"n":{"df":2,"docs":{"101":{"tf":1.0},"113":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"141":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}},"df":2,"docs":{"167":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951}},"e":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"3":{"tf":1.0}}}}}}},"df":2,"docs":{"18":{"tf":1.4142135623730951},"81":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}},"l":{"df":1,"docs":{"47":{"tf":1.0}}}}},"m":{"df":1,"docs":{"165":{"tf":1.0}},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"8":{"tf":1.0}},"f":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":3,"docs":{"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":9,"docs":{"1":{"tf":1.0},"113":{"tf":1.4142135623730951},"138":{"tf":1.0},"155":{"tf":3.0},"156":{"tf":2.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.0},"98":{"tf":1.0}},"t":{"a":{"df":0,"docs":{},"n":{"df":1,"docs":{"19":{"tf":1.0}}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":8,"docs":{"11":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"37":{"tf":1.0},"46":{"tf":1.4142135623730951},"79":{"tf":1.0},"82":{"tf":1.0},"94":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.4142135623730951}}}}},"k":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":1,"docs":{"45":{"tf":1.0}}}}},"l":{"a":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}}}},"df":0,"docs":{}},"m":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"2":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"_":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":2,"docs":{"153":{"tf":1.0},"154":{"tf":1.0}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":18,"docs":{"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"112":{"tf":1.0},"113":{"tf":1.0},"120":{"tf":1.0},"127":{"tf":1.0},"132":{"tf":1.4142135623730951},"148":{"tf":2.23606797749979},"149":{"tf":1.4142135623730951},"150":{"tf":1.4142135623730951},"152":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"42":{"tf":2.0},"44":{"tf":1.0}},"e":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":2,"docs":{"152":{"tf":1.0},"45":{"tf":2.0}}}},"df":0,"docs":{}},"d":{"df":0,"docs":{},"x":{"df":1,"docs":{"126":{"tf":1.0}}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"i":{"a":{"df":0,"docs":{},"l":{"df":4,"docs":{"37":{"tf":1.4142135623730951},"72":{"tf":1.7320508075688772},"78":{"tf":1.0},"94":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":4,"docs":{"133":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"94":{"tf":1.0}},"i":{"df":27,"docs":{"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"111":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":2.0},"133":{"tf":1.0},"141":{"tf":1.4142135623730951},"143":{"tf":1.0},"145":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"160":{"tf":1.0},"164":{"tf":1.0},"38":{"tf":1.0},"60":{"tf":1.4142135623730951},"61":{"tf":1.4142135623730951},"68":{"tf":1.4142135623730951},"71":{"tf":1.0},"72":{"tf":2.0},"76":{"tf":1.0},"79":{"tf":1.4142135623730951},"80":{"tf":1.0},"82":{"tf":1.4142135623730951},"94":{"tf":1.0}}}}}},"df":0,"docs":{}}},"r":{"c":{"/":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"35":{"tf":1.0}}}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}},"df":0,"docs":{}}}}}}},"df":4,"docs":{"148":{"tf":2.0},"149":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}},"t":{"df":21,"docs":{"105":{"tf":1.0},"111":{"tf":1.0},"122":{"tf":1.0},"167":{"tf":2.0},"22":{"tf":2.0},"23":{"tf":1.0},"24":{"tf":1.0},"25":{"tf":1.0},"26":{"tf":1.0},"27":{"tf":1.0},"28":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0},"31":{"tf":1.0},"32":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"38":{"tf":1.0},"47":{"tf":1.0},"92":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":14,"docs":{"167":{"tf":4.0},"19":{"tf":1.7320508075688772},"37":{"tf":1.4142135623730951},"4":{"tf":1.0},"80":{"tf":1.0},"83":{"tf":2.23606797749979},"84":{"tf":1.4142135623730951},"85":{"tf":1.4142135623730951},"86":{"tf":1.7320508075688772},"87":{"tf":1.7320508075688772},"88":{"tf":1.4142135623730951},"89":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"98":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"5":{"tf":1.0}}}}},"d":{"df":1,"docs":{"63":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":2,"docs":{"165":{"tf":1.7320508075688772},"167":{"tf":2.23606797749979}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":13,"docs":{"124":{"tf":1.0},"126":{"tf":1.0},"133":{"tf":1.4142135623730951},"146":{"tf":1.4142135623730951},"154":{"tf":4.0},"157":{"tf":1.4142135623730951},"158":{"tf":1.4142135623730951},"159":{"tf":1.7320508075688772},"163":{"tf":2.23606797749979},"164":{"tf":1.0},"167":{"tf":1.0},"49":{"tf":1.7320508075688772},"64":{"tf":2.23606797749979}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"df":1,"docs":{"167":{"tf":2.23606797749979}}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":2.0}}}}},"df":0,"docs":{}},"df":2,"docs":{"120":{"tf":1.0},"135":{"tf":1.0}}}},"u":{"c":{"df":0,"docs":{},"t":{"a":{":":{":":{"<":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"df":1,"docs":{"118":{"tf":1.0}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.0}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":3,"docs":{"118":{"tf":1.0},"67":{"tf":1.4142135623730951},"71":{"tf":2.0}}},"df":7,"docs":{"114":{"tf":1.0},"118":{"tf":1.7320508075688772},"154":{"tf":2.0},"167":{"tf":1.7320508075688772},"67":{"tf":2.0},"71":{"tf":1.4142135623730951},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}},"r":{"df":18,"docs":{"148":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.7320508075688772},"43":{"tf":2.0},"44":{"tf":1.0},"45":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0},"48":{"tf":1.0},"49":{"tf":1.0},"50":{"tf":1.0},"51":{"tf":1.0},"52":{"tf":1.0},"53":{"tf":1.0},"54":{"tf":1.0},"55":{"tf":1.0},"56":{"tf":1.0},"57":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"154":{"tf":3.872983346207417}}}}}},"u":{"b":{"df":1,"docs":{"148":{"tf":1.0}},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"84":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"c":{"df":0,"docs":{},"h":{"df":2,"docs":{"3":{"tf":1.0},"5":{"tf":1.0}}}},"df":0,"docs":{},"g":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"m":{"df":1,"docs":{"69":{"tf":1.0}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":13,"docs":{"111":{"tf":1.4142135623730951},"114":{"tf":1.0},"133":{"tf":1.0},"147":{"tf":1.0},"152":{"tf":1.0},"155":{"tf":1.0},"161":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"28":{"tf":1.0},"3":{"tf":1.4142135623730951},"31":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.0},"92":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":2,"docs":{"49":{"tf":1.0},"77":{"tf":1.0}}},"df":0,"docs":{}}}}}}},"v":{"(":{"\"":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"k":{"_":{"d":{"df":0,"docs":{},"e":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"=":{"\\":{"\"":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"u":{"df":1,"docs":{"100":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"r":{"a":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"=":{"\\":{"\"":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"100":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},":":{":":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":0,"docs":{},"e":{"c":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"b":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"a":{":":{":":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"108":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"v":{"df":0,"docs":{},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"104":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}},"x":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":1,"docs":{"150":{"tf":1.0}}},"y":{".":{"df":0,"docs":{},"s":{"df":0,"docs":{},"v":{"df":1,"docs":{"150":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"df":6,"docs":{"100":{"tf":1.7320508075688772},"108":{"tf":1.0},"111":{"tf":1.4142135623730951},"113":{"tf":1.0},"151":{"tf":1.0},"7":{"tf":1.0}}},"y":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":3,"docs":{"104":{"tf":1.7320508075688772},"107":{"tf":1.0},"167":{"tf":1.0}}}}},"df":0,"docs":{}},"n":{"c":{"/":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"72":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"11":{"tf":1.4142135623730951},"130":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}},"i":{"df":0,"docs":{},"s":{"df":2,"docs":{"130":{"tf":1.0},"94":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":1,"docs":{"155":{"tf":1.0}}}}}},"t":{"a":{"df":0,"docs":{},"x":{"df":8,"docs":{"1":{"tf":2.23606797749979},"10":{"tf":1.0},"11":{"tf":1.0},"15":{"tf":1.0},"154":{"tf":1.0},"164":{"tf":1.7320508075688772},"167":{"tf":2.0},"36":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":3,"docs":{"167":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":3,"docs":{"154":{"tf":1.4142135623730951},"94":{"tf":1.0},"98":{"tf":1.0}},"z":{"df":1,"docs":{"1":{"tf":1.0}}}}}}}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":3,"docs":{"10":{"tf":1.0},"113":{"tf":1.0},"75":{"tf":1.0}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"'":{"df":1,"docs":{"69":{"tf":1.0}}},"df":31,"docs":{"0":{"tf":1.0},"1":{"tf":1.0},"10":{"tf":1.0},"100":{"tf":1.4142135623730951},"108":{"tf":2.23606797749979},"11":{"tf":1.0},"111":{"tf":1.0},"113":{"tf":1.0},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"15":{"tf":1.0},"150":{"tf":1.4142135623730951},"16":{"tf":1.0},"161":{"tf":1.0},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.4142135623730951},"2":{"tf":1.7320508075688772},"20":{"tf":1.0},"21":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":2.0},"37":{"tf":1.4142135623730951},"50":{"tf":1.7320508075688772},"7":{"tf":1.0},"74":{"tf":1.0},"75":{"tf":1.0},"84":{"tf":1.0},"9":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"164":{"tf":1.0}}}},"k":{"df":0,"docs":{},"e":{"df":1,"docs":{"37":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":5,"docs":{"120":{"tf":1.0},"132":{"tf":2.23606797749979},"148":{"tf":1.0},"149":{"tf":2.449489742783178},"151":{"tf":1.0}}}}}}},"df":5,"docs":{"114":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"45":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"16":{"tf":1.0}}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"(":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"_":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"113":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.4142135623730951}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"1":{"df":2,"docs":{"113":{"tf":1.0},"7":{"tf":1.0}}},"df":11,"docs":{"113":{"tf":3.1622776601683795},"120":{"tf":1.4142135623730951},"138":{"tf":2.0},"155":{"tf":2.0},"156":{"tf":1.7320508075688772},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"165":{"tf":2.0},"4":{"tf":1.0},"7":{"tf":2.0}}}},"x":{"df":0,"docs":{},"t":{"d":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"/":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"152":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":3,"docs":{"12":{"tf":1.0},"125":{"tf":1.0},"131":{"tf":1.4142135623730951}}}}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"13":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"e":{"df":2,"docs":{"123":{"tf":1.0},"143":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":11,"docs":{"113":{"tf":1.4142135623730951},"114":{"tf":1.4142135623730951},"152":{"tf":1.0},"155":{"tf":1.4142135623730951},"165":{"tf":1.0},"6":{"tf":1.0},"67":{"tf":1.0},"7":{"tf":1.0},"80":{"tf":1.0},"9":{"tf":1.0},"94":{"tf":1.0}}}}}}},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":6,"docs":{"11":{"tf":1.0},"145":{"tf":1.0},"153":{"tf":1.0},"3":{"tf":1.0},"4":{"tf":1.0},"5":{"tf":1.7320508075688772}}}},"t":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"19":{"tf":2.23606797749979}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":14.7648230602334}}}}},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.4142135623730951}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{},"l":{"df":4,"docs":{"119":{"tf":1.0},"133":{"tf":1.0},"161":{"tf":1.4142135623730951},"3":{"tf":1.7320508075688772}}}},"p":{"df":6,"docs":{"104":{"tf":1.0},"105":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0}}}},"r":{"a":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"9":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":3,"docs":{"10":{"tf":1.7320508075688772},"37":{"tf":1.0},"4":{"tf":1.0}}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"n":{"df":0,"docs":{},"s":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"54":{"tf":1.0}}}},"df":0,"docs":{}},"p":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":6,"docs":{"100":{"tf":1.0},"2":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"46":{"tf":1.0},"69":{"tf":1.0}}}}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":3,"docs":{"113":{"tf":1.0},"45":{"tf":1.0},"47":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":6,"docs":{"148":{"tf":1.4142135623730951},"149":{"tf":1.0},"150":{"tf":1.0},"33":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0}}}},"i":{"df":3,"docs":{"167":{"tf":1.4142135623730951},"34":{"tf":1.0},"36":{"tf":1.0}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"u":{"df":0,"docs":{},"e":{"df":3,"docs":{"134":{"tf":1.4142135623730951},"135":{"tf":1.4142135623730951},"146":{"tf":1.0}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"164":{"tf":1.0}}}}}}},"w":{"df":0,"docs":{},"o":{"df":1,"docs":{"80":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"a":{"df":1,"docs":{"118":{"tf":1.4142135623730951}}},"b":{"df":1,"docs":{"118":{"tf":1.0}}},"c":{"df":1,"docs":{"118":{"tf":1.0}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":2,"docs":{"14":{"tf":1.0},"70":{"tf":1.4142135623730951}}}}},"df":37,"docs":{"102":{"tf":1.0},"105":{"tf":1.4142135623730951},"11":{"tf":1.0},"118":{"tf":2.0},"120":{"tf":2.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.4142135623730951},"133":{"tf":1.4142135623730951},"149":{"tf":1.0},"154":{"tf":5.0},"163":{"tf":1.0},"167":{"tf":2.23606797749979},"29":{"tf":1.0},"30":{"tf":1.0},"36":{"tf":1.0},"37":{"tf":1.4142135623730951},"58":{"tf":2.0},"59":{"tf":2.0},"60":{"tf":2.23606797749979},"61":{"tf":2.23606797749979},"62":{"tf":2.23606797749979},"63":{"tf":2.23606797749979},"64":{"tf":2.23606797749979},"65":{"tf":3.605551275463989},"66":{"tf":2.0},"67":{"tf":1.7320508075688772},"68":{"tf":1.7320508075688772},"69":{"tf":1.7320508075688772},"70":{"tf":2.8284271247461903},"71":{"tf":1.4142135623730951},"72":{"tf":3.3166247903554},"9":{"tf":1.0},"92":{"tf":1.0},"94":{"tf":1.0},"97":{"tf":1.0}},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"u":{"0":{"0":{"1":{"df":0,"docs":{},"f":{"]":{")":{"*":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":1,"docs":{"116":{"tf":1.0}}},"1":{"df":1,"docs":{"116":{"tf":1.0}}},"3":{"2":{"df":23,"docs":{"102":{"tf":1.7320508075688772},"104":{"tf":1.4142135623730951},"105":{"tf":1.4142135623730951},"106":{"tf":1.4142135623730951},"107":{"tf":1.0},"117":{"tf":1.7320508075688772},"118":{"tf":1.0},"164":{"tf":1.4142135623730951},"167":{"tf":1.4142135623730951},"17":{"tf":1.0},"37":{"tf":1.7320508075688772},"38":{"tf":2.0},"39":{"tf":1.7320508075688772},"40":{"tf":1.4142135623730951},"62":{"tf":1.4142135623730951},"65":{"tf":1.0},"67":{"tf":1.0},"71":{"tf":1.4142135623730951},"80":{"tf":1.4142135623730951},"88":{"tf":1.0},"89":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},"6":{"4":{"df":5,"docs":{"118":{"tf":1.0},"167":{"tf":1.4142135623730951},"54":{"tf":2.0},"55":{"tf":2.0},"62":{"tf":1.4142135623730951}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{}},":":{"\\":{"b":{")":{"a":{"df":1,"docs":{"167":{"tf":1.0}},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"k":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}}},"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"a":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"s":{"df":1,"docs":{"167":{"tf":1.0}}}},"m":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}}},"x":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"f":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}},"i":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"df":1,"docs":{"167":{"tf":1.0}}},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}},"df":1,"docs":{"167":{"tf":1.0}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"o":{"c":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}}}},"df":0,"docs":{}},"s":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"167":{"tf":1.0}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{},"s":{"df":0,"docs":{},"y":{"df":0,"docs":{},"n":{"c":{"_":{"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"h":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"167":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}},"r":{"df":1,"docs":{"167":{"tf":1.0}},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"167":{"tf":1.0}}}},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.0}}}}},"u":{"3":{"2":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"6":{"4":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{},"n":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.0}}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"167":{"tf":7.937253933193772}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"f":{"_":{"a":{"df":1,"docs":{"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"m":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"b":{"df":1,"docs":{"38":{"tf":1.0}}},"c":{"df":1,"docs":{"38":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}},"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}}}}}},"df":1,"docs":{"116":{"tf":1.0}},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":2,"docs":{"50":{"tf":1.7320508075688772},"74":{"tf":1.0}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"5":{"tf":1.0}},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":1,"docs":{"126":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":1.0}}}}}}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"n":{"a":{"df":1,"docs":{"69":{"tf":1.4142135623730951}}},"df":3,"docs":{"114":{"tf":1.0},"167":{"tf":1.4142135623730951},"69":{"tf":2.6457513110645907}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.4142135623730951}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.4142135623730951}}}}}}},"df":0,"docs":{}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"k":{"df":1,"docs":{"84":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"df":1,"docs":{"37":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"62":{"tf":1.4142135623730951}}}}}},"t":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"69":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"s":{"df":2,"docs":{"5":{"tf":1.7320508075688772},"92":{"tf":1.4142135623730951}},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.4142135623730951}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"p":{"d":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"145":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":1,"docs":{"154":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"c":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"c":{"a":{"df":0,"docs":{},"s":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"s":{"df":1,"docs":{"114":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"l":{"df":4,"docs":{"120":{"tf":1.0},"127":{"tf":1.0},"29":{"tf":1.0},"30":{"tf":1.0}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":2,"docs":{"114":{"tf":1.0},"142":{"tf":1.4142135623730951}}}},"df":51,"docs":{"104":{"tf":1.0},"106":{"tf":1.0},"107":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"113":{"tf":1.0},"114":{"tf":2.0},"118":{"tf":1.0},"12":{"tf":1.4142135623730951},"122":{"tf":1.4142135623730951},"124":{"tf":1.0},"129":{"tf":1.0},"13":{"tf":1.0},"132":{"tf":1.0},"141":{"tf":1.4142135623730951},"142":{"tf":1.7320508075688772},"144":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"155":{"tf":1.0},"160":{"tf":1.7320508075688772},"163":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":2.8284271247461903},"17":{"tf":1.0},"19":{"tf":1.0},"22":{"tf":1.0},"23":{"tf":1.0},"24":{"tf":1.0},"31":{"tf":1.0},"35":{"tf":1.4142135623730951},"36":{"tf":1.4142135623730951},"46":{"tf":1.0},"47":{"tf":1.0},"49":{"tf":1.0},"70":{"tf":1.0},"75":{"tf":1.0},"76":{"tf":1.0},"77":{"tf":1.4142135623730951},"80":{"tf":1.0},"81":{"tf":1.0},"83":{"tf":1.0},"85":{"tf":1.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"93":{"tf":1.4142135623730951},"94":{"tf":1.4142135623730951},"98":{"tf":1.0}},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"160":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"df":5,"docs":{"66":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"70":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"f":{"df":1,"docs":{"44":{"tf":1.0}}}},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"(":{"?":{":":{"\\":{"\\":{"[":{"\\":{"df":0,"docs":{},"u":{"df":0,"docs":{},"{":{"0":{"0":{"2":{"2":{"df":0,"docs":{},"}":{"\\":{"\\":{"/":{"b":{"df":0,"docs":{},"f":{"df":0,"docs":{},"n":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"]":{"df":0,"docs":{},"|":{"df":0,"docs":{},"u":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"df":22,"docs":{"105":{"tf":1.0},"146":{"tf":1.0},"152":{"tf":1.0},"154":{"tf":1.4142135623730951},"156":{"tf":1.4142135623730951},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"19":{"tf":1.0},"37":{"tf":1.0},"68":{"tf":1.7320508075688772},"71":{"tf":1.0},"73":{"tf":1.0},"77":{"tf":1.0},"78":{"tf":1.0},"85":{"tf":1.0},"89":{"tf":1.0},"90":{"tf":1.0},"92":{"tf":1.0},"97":{"tf":1.0}}}},"r":{"d":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"167":{"tf":2.23606797749979}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":31,"docs":{"101":{"tf":1.0},"106":{"tf":1.4142135623730951},"118":{"tf":1.7320508075688772},"14":{"tf":1.0},"15":{"tf":1.0},"16":{"tf":1.0},"167":{"tf":1.4142135623730951},"18":{"tf":1.4142135623730951},"37":{"tf":1.7320508075688772},"38":{"tf":1.4142135623730951},"39":{"tf":1.7320508075688772},"67":{"tf":1.0},"68":{"tf":1.0},"69":{"tf":1.0},"71":{"tf":3.1622776601683795},"72":{"tf":1.7320508075688772},"77":{"tf":1.0},"78":{"tf":1.0},"79":{"tf":1.4142135623730951},"82":{"tf":1.4142135623730951},"84":{"tf":2.0},"86":{"tf":1.0},"87":{"tf":1.0},"88":{"tf":1.0},"9":{"tf":1.4142135623730951},"90":{"tf":1.7320508075688772},"92":{"tf":2.6457513110645907},"94":{"tf":1.7320508075688772},"95":{"tf":1.0},"96":{"tf":1.0},"97":{"tf":1.0}},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":17,"docs":{"154":{"tf":3.1622776601683795},"19":{"tf":1.0},"20":{"tf":1.0},"37":{"tf":1.0},"5":{"tf":1.4142135623730951},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"65":{"tf":1.0},"73":{"tf":1.0},"84":{"tf":1.0},"88":{"tf":1.0},"92":{"tf":3.0},"93":{"tf":1.0},"94":{"tf":2.6457513110645907},"95":{"tf":1.0},"96":{"tf":1.0},"99":{"tf":1.0}},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"_":{"a":{"df":1,"docs":{"69":{"tf":1.0}}},"b":{"df":1,"docs":{"69":{"tf":1.0}}},"c":{"df":1,"docs":{"69":{"tf":1.0}}},"d":{"df":1,"docs":{"69":{"tf":1.0}}},"df":0,"docs":{}},"df":5,"docs":{"14":{"tf":1.7320508075688772},"4":{"tf":1.0},"68":{"tf":1.7320508075688772},"69":{"tf":1.4142135623730951},"72":{"tf":1.4142135623730951}}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":2,"docs":{"13":{"tf":1.0},"19":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}},"c":{"df":3,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"158":{"tf":1.4142135623730951}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"df":5,"docs":{"155":{"tf":1.4142135623730951},"156":{"tf":1.0},"157":{"tf":1.4142135623730951},"165":{"tf":1.7320508075688772},"35":{"tf":1.4142135623730951}}}},"s":{"a":{"df":1,"docs":{"82":{"tf":1.0}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":11,"docs":{"10":{"tf":1.0},"120":{"tf":1.4142135623730951},"121":{"tf":1.0},"123":{"tf":2.449489742783178},"141":{"tf":2.23606797749979},"143":{"tf":4.0},"144":{"tf":1.7320508075688772},"145":{"tf":2.449489742783178},"146":{"tf":1.0},"33":{"tf":1.0},"8":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"'":{"df":4,"docs":{"167":{"tf":1.0},"34":{"tf":1.0},"42":{"tf":1.0},"45":{"tf":1.0}}},".":{"df":0,"docs":{},"p":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"144":{"tf":2.0}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":17,"docs":{"121":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"144":{"tf":1.0},"145":{"tf":1.0},"148":{"tf":1.4142135623730951},"149":{"tf":1.4142135623730951},"150":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.0},"155":{"tf":1.4142135623730951},"161":{"tf":1.0},"164":{"tf":1.0},"33":{"tf":1.4142135623730951},"34":{"tf":1.0},"35":{"tf":1.0},"94":{"tf":1.0}}}}}}},"@":{"df":0,"docs":{},"v":{"1":{"df":1,"docs":{"165":{"tf":1.7320508075688772}}},"df":0,"docs":{}}},"_":{"df":0,"docs":{},"s":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"_":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"142":{"tf":1.0}},"e":{"1":{"df":1,"docs":{"141":{"tf":1.0}}},"2":{"df":1,"docs":{"141":{"tf":1.0}}},":":{":":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":1,"docs":{"141":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":52,"docs":{"0":{"tf":2.0},"1":{"tf":1.0},"10":{"tf":1.0},"108":{"tf":1.0},"109":{"tf":1.0},"11":{"tf":1.4142135623730951},"113":{"tf":1.7320508075688772},"12":{"tf":1.0},"13":{"tf":1.0},"14":{"tf":1.0},"141":{"tf":1.0},"144":{"tf":2.23606797749979},"145":{"tf":1.0},"147":{"tf":1.0},"148":{"tf":1.7320508075688772},"15":{"tf":1.0},"150":{"tf":1.0},"151":{"tf":1.0},"152":{"tf":1.0},"153":{"tf":1.4142135623730951},"155":{"tf":1.0},"16":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"165":{"tf":3.3166247903554},"167":{"tf":1.7320508075688772},"17":{"tf":1.0},"18":{"tf":1.0},"19":{"tf":1.0},"2":{"tf":1.4142135623730951},"20":{"tf":1.0},"21":{"tf":1.0},"22":{"tf":1.4142135623730951},"23":{"tf":1.0},"24":{"tf":1.0},"27":{"tf":1.4142135623730951},"29":{"tf":1.7320508075688772},"3":{"tf":1.4142135623730951},"30":{"tf":1.0},"31":{"tf":1.0},"33":{"tf":1.7320508075688772},"34":{"tf":1.4142135623730951},"35":{"tf":1.7320508075688772},"36":{"tf":1.7320508075688772},"4":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"44":{"tf":1.0},"69":{"tf":1.0},"7":{"tf":1.4142135623730951},"8":{"tf":1.0},"9":{"tf":1.0}},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"k":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":1,"docs":{"167":{"tf":10.535653752852738}}}}}}}}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"82":{"tf":1.0}}}},"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":2,"docs":{"5":{"tf":1.0},"6":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"df":3,"docs":{"28":{"tf":1.0},"3":{"tf":1.0},"30":{"tf":2.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":4,"docs":{"109":{"tf":2.0},"164":{"tf":1.0},"21":{"tf":1.4142135623730951},"4":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"28":{"tf":1.0},"29":{"tf":2.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":5,"docs":{"155":{"tf":1.0},"156":{"tf":1.0},"159":{"tf":1.0},"162":{"tf":1.4142135623730951},"163":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"s":{"c":{"df":0,"docs":{},"o":{"d":{"df":0,"docs":{},"e":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"w":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":9,"docs":{"108":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"141":{"tf":1.7320508075688772},"149":{"tf":1.0},"150":{"tf":1.0},"160":{"tf":1.0},"164":{"tf":1.0},"92":{"tf":1.0}}}},"r":{"df":0,"docs":{},"n":{"df":3,"docs":{"113":{"tf":1.0},"5":{"tf":1.0},"92":{"tf":1.4142135623730951}}}},"v":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.4142135623730951},"164":{"tf":2.0}}}}}},"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":2.0}},"f":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"m":{"df":2,"docs":{"12":{"tf":1.0},"164":{"tf":1.0}}}}}}}},"y":{"df":3,"docs":{"111":{"tf":1.4142135623730951},"120":{"tf":1.0},"25":{"tf":1.4142135623730951}}}},"df":0,"docs":{},"e":{"'":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":8,"docs":{"119":{"tf":1.0},"36":{"tf":1.0},"41":{"tf":1.0},"43":{"tf":1.0},"58":{"tf":1.0},"73":{"tf":1.0},"83":{"tf":1.0},"91":{"tf":1.0}}}}},"df":0,"docs":{}},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"11":{"tf":1.0},"120":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":2.0}}}}},"o":{"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"df":1,"docs":{"114":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":10,"docs":{"152":{"tf":1.0},"164":{"tf":1.0},"167":{"tf":1.7320508075688772},"18":{"tf":1.0},"36":{"tf":1.0},"54":{"tf":1.4142135623730951},"55":{"tf":1.0},"60":{"tf":1.7320508075688772},"61":{"tf":1.7320508075688772},"69":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.4142135623730951}}}}}}}}},"df":0,"docs":{},"l":{"d":{"c":{"a":{"df":0,"docs":{},"r":{"d":{"df":1,"docs":{"104":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"e":{"df":2,"docs":{"154":{"tf":2.449489742783178},"72":{"tf":1.4142135623730951}}}},"t":{"d":{"df":0,"docs":{},"h":{"df":1,"docs":{"94":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":1,"docs":{"167":{"tf":2.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}}}},"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.6457513110645907}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"i":{"df":0,"docs":{},"n":{"df":4,"docs":{"124":{"tf":1.0},"13":{"tf":2.0},"14":{"tf":1.0},"147":{"tf":1.0}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"21":{"tf":1.0},"37":{"tf":1.0}}}}},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":2.0}},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"p":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}}}}},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"167":{"tf":1.7320508075688772}}}}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"o":{"df":0,"docs":{},"r":{"d":{"_":{"df":0,"docs":{},"t":{"df":1,"docs":{"70":{"tf":1.4142135623730951}}}},"df":0,"docs":{}},"df":0,"docs":{},"l":{"d":{"df":6,"docs":{"32":{"tf":1.7320508075688772},"33":{"tf":1.0},"34":{"tf":1.4142135623730951},"35":{"tf":1.4142135623730951},"49":{"tf":1.0},"85":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":5,"docs":{"1":{"tf":1.0},"12":{"tf":1.0},"144":{"tf":1.4142135623730951},"145":{"tf":1.0},"34":{"tf":1.4142135623730951}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"7":{"tf":1.0}}}}}}}}},"x":{".":{"3":{"4":{"5":{"df":0,"docs":{},"x":{"df":0,"docs":{},"|":{"=":{".":{"df":0,"docs":{},"x":{"df":1,"docs":{"164":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{}},"[":{"9":{":":{"0":{"df":1,"docs":{"15":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":0,"docs":{},"i":{"df":1,"docs":{"17":{"tf":2.0}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"18":{"tf":1.0}}},"df":0,"docs":{}}},"w":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":1,"docs":{"18":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":11,"docs":{"105":{"tf":1.7320508075688772},"117":{"tf":1.0},"13":{"tf":1.7320508075688772},"16":{"tf":2.0},"18":{"tf":1.4142135623730951},"19":{"tf":1.4142135623730951},"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}},"e":{"df":0,"docs":{},"l":{"a":{"b":{"df":1,"docs":{"159":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"84":{"tf":1.0}}}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"159":{"tf":1.0}}}}},"v":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"159":{"tf":1.0}}}}}}},"y":{"0":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"1":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"2":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"3":{"df":1,"docs":{"16":{"tf":1.4142135623730951}}},"df":5,"docs":{"15":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}},"z":{"0":{"df":1,"docs":{"48":{"tf":1.0}}},"_":{"]":{"[":{"0":{"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":1,"docs":{"48":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"df":1,"docs":{"167":{"tf":1.4142135623730951}}},"a":{"df":2,"docs":{"167":{"tf":2.0},"48":{"tf":1.4142135623730951}}},"df":5,"docs":{"53":{"tf":1.7320508075688772},"60":{"tf":1.4142135623730951},"61":{"tf":1.0},"71":{"tf":1.0},"90":{"tf":1.4142135623730951}}}}},"title":{"root":{"2":{"df":1,"docs":{"61":{"tf":1.0}}},"4":{"df":1,"docs":{"60":{"tf":1.0}}},"a":{"b":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"11":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"165":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"y":{"df":0,"docs":{},"s":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"f":{"df":1,"docs":{"13":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"166":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"r":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"y":{"df":2,"docs":{"57":{"tf":1.0},"71":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":3,"docs":{"13":{"tf":1.0},"84":{"tf":1.0},"96":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":2,"docs":{"100":{"tf":1.0},"99":{"tf":1.0}}}}},"df":0,"docs":{}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"124":{"tf":1.0}}}}},"o":{"df":1,"docs":{"6":{"tf":1.0}}}}}},"b":{"a":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":1,"docs":{"17":{"tf":1.0}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"26":{"tf":1.0}}}}},"df":0,"docs":{}},"t":{"df":3,"docs":{"53":{"tf":1.0},"55":{"tf":1.0},"79":{"tf":1.0}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"df":2,"docs":{"103":{"tf":1.0},"20":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"d":{"df":2,"docs":{"128":{"tf":1.0},"35":{"tf":1.0}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"59":{"tf":1.0}}}}}}},"m":{"df":0,"docs":{},"p":{"df":1,"docs":{"145":{"tf":1.0}}}}}},"c":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":2,"docs":{"75":{"tf":1.0},"85":{"tf":1.0}}}},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"o":{"df":1,"docs":{"27":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"e":{"df":3,"docs":{"16":{"tf":1.0},"78":{"tf":1.0},"87":{"tf":1.0}}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"o":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":1,"docs":{"25":{"tf":1.0}}}}}},"l":{"df":0,"docs":{},"o":{"c":{"df":0,"docs":{},"k":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"129":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"d":{"df":0,"docs":{},"e":{"df":5,"docs":{"29":{"tf":1.0},"34":{"tf":1.0},"35":{"tf":1.0},"36":{"tf":1.0},"42":{"tf":1.0}}}},"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"95":{"tf":1.0}}}}},"df":0,"docs":{},"m":{"a":{"df":1,"docs":{"10":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"46":{"tf":1.0},"47":{"tf":1.0}}}}}},"p":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"161":{"tf":1.0}}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"d":{"df":1,"docs":{"13":{"tf":1.0}}},"df":0,"docs":{}}}}}},"n":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":2,"docs":{"15":{"tf":1.0},"76":{"tf":1.0}}}}}},"df":0,"docs":{}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"120":{"tf":1.0},"146":{"tf":1.0}}}}}}},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"l":{"df":1,"docs":{"21":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"33":{"tf":1.0}}}},"df":0,"docs":{}}}},"d":{"a":{"df":0,"docs":{},"t":{"a":{"df":3,"docs":{"58":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":3,"docs":{"111":{"tf":1.0},"112":{"tf":1.0},"91":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":1,"docs":{"66":{"tf":1.0}}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"d":{"df":4,"docs":{"140":{"tf":1.0},"141":{"tf":1.0},"142":{"tf":1.0},"8":{"tf":1.0}}},"df":0,"docs":{}}}},"s":{"c":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"p":{"df":0,"docs":{},"t":{"df":2,"docs":{"0":{"tf":1.0},"125":{"tf":1.0}}}}}}},"df":0,"docs":{}},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"p":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"i":{"a":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"5":{"tf":1.0}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":4,"docs":{"147":{"tf":1.0},"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"o":{"c":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":3,"docs":{"12":{"tf":1.0},"164":{"tf":1.0},"47":{"tf":1.0}}}}}}}},"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"a":{"d":{"df":1,"docs":{"26":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"169":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":2,"docs":{"28":{"tf":1.0},"31":{"tf":1.0}}}}}}},"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"111":{"tf":1.0}}},"df":0,"docs":{}},"n":{"c":{"df":0,"docs":{},"o":{"d":{"df":1,"docs":{"44":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"df":2,"docs":{"14":{"tf":1.0},"68":{"tf":1.0}}}},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"119":{"tf":1.0}}}}}}}},"r":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"168":{"tf":1.0}}}}}},"x":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":1,"docs":{"36":{"tf":1.0}}}}}},"df":0,"docs":{},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":2,"docs":{"16":{"tf":1.0},"73":{"tf":1.0}}}}}}}}},"f":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":1,"docs":{"4":{"tf":1.0}}}}}},"df":0,"docs":{}},"i":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"d":{"df":13,"docs":{"122":{"tf":1.0},"123":{"tf":1.0},"124":{"tf":1.0},"125":{"tf":1.0},"126":{"tf":1.0},"127":{"tf":1.0},"129":{"tf":1.0},"130":{"tf":1.0},"131":{"tf":1.0},"132":{"tf":1.0},"133":{"tf":1.0},"134":{"tf":1.0},"135":{"tf":1.0}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"131":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"n":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"98":{"tf":1.0}}}},"df":0,"docs":{}}},"l":{"df":0,"docs":{},"o":{"a":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"110":{"tf":1.0}}}}}},"m":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"167":{"tf":1.0}}},"t":{"df":2,"docs":{"136":{"tf":1.0},"6":{"tf":1.0}},"t":{"df":1,"docs":{"152":{"tf":1.0}}}}},"df":0,"docs":{}}}},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":4,"docs":{"115":{"tf":1.0},"75":{"tf":1.0},"85":{"tf":1.0},"97":{"tf":1.0}}}}}}},"df":0,"docs":{}}}},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":7,"docs":{"101":{"tf":1.0},"114":{"tf":1.0},"115":{"tf":1.0},"116":{"tf":1.0},"117":{"tf":1.0},"118":{"tf":1.0},"9":{"tf":1.0}}}}},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":0,"docs":{},"u":{"b":{"df":1,"docs":{"165":{"tf":1.0}}},"df":0,"docs":{}}},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":1,"docs":{"151":{"tf":1.0}}}}}}}}}},"h":{"a":{"df":0,"docs":{},"r":{"d":{"df":0,"docs":{},"w":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"0":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":1,"docs":{"32":{"tf":1.0}}}}}}},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"48":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"p":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"c":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"a":{"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"133":{"tf":1.0}}}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"104":{"tf":1.0}}}}}}},"n":{"c":{"df":0,"docs":{},"l":{"df":0,"docs":{},"u":{"d":{"df":1,"docs":{"112":{"tf":1.0}}},"df":0,"docs":{}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"u":{"df":1,"docs":{"14":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"98":{"tf":1.0}}}}},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"l":{"df":2,"docs":{"23":{"tf":1.0},"25":{"tf":1.0}}},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":2,"docs":{"102":{"tf":1.0},"38":{"tf":1.0}}}}}},"df":0,"docs":{}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"g":{"df":3,"docs":{"52":{"tf":1.0},"54":{"tf":1.0},"62":{"tf":1.0}},"r":{"df":4,"docs":{"110":{"tf":1.0},"113":{"tf":1.0},"28":{"tf":1.0},"7":{"tf":1.0}}}},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":2,"docs":{"106":{"tf":1.0},"39":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":2,"docs":{"108":{"tf":1.0},"2":{"tf":1.0}}}}}}}}},"v":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"d":{"_":{"a":{"df":0,"docs":{},"l":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":1,"docs":{"170":{"tf":1.0}}}}}}},"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"171":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"172":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"l":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"173":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"df":0,"docs":{},"s":{"b":{"df":1,"docs":{"174":{"tf":1.0}}},"df":0,"docs":{}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"_":{"c":{"df":0,"docs":{},"h":{"a":{"df":0,"docs":{},"r":{"a":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"175":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"s":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"176":{"tf":1.0}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"f":{"df":0,"docs":{},"u":{"df":0,"docs":{},"n":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"177":{"tf":1.0}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"df":0,"docs":{}}}},"l":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"u":{"a":{"df":0,"docs":{},"g":{"df":4,"docs":{"0":{"tf":1.0},"110":{"tf":1.0},"160":{"tf":1.0},"41":{"tf":1.0}}}},"df":0,"docs":{}}}},"y":{"df":0,"docs":{},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"147":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"x":{"df":0,"docs":{},"i":{"c":{"df":1,"docs":{"43":{"tf":1.0}}},"df":0,"docs":{}}}},"i":{"c":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"s":{"df":1,"docs":{"126":{"tf":1.0}}}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"m":{"df":1,"docs":{"154":{"tf":1.0}}}},"df":0,"docs":{}}},"df":1,"docs":{"137":{"tf":1.0}},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"153":{"tf":1.0}}}}}},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"57":{"tf":1.0}}}}}},"s":{"b":{"df":1,"docs":{"81":{"tf":1.0}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"8":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"m":{"a":{"df":0,"docs":{},"t":{"c":{"df":0,"docs":{},"h":{"_":{"a":{"df":0,"docs":{},"r":{"df":1,"docs":{"178":{"tf":1.0}}},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"_":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":1,"docs":{"179":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"180":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}},"df":0,"docs":{}},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"_":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"_":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":1,"docs":{"181":{"tf":1.0}}}}}}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"182":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"g":{"df":0,"docs":{},"n":{"df":1,"docs":{"183":{"tf":1.0}}}}},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"184":{"tf":1.0}}}},"df":0,"docs":{}}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}}}}},"o":{"d":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":2,"docs":{"105":{"tf":1.0},"37":{"tf":1.0}},"e":{"/":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"f":{"a":{"c":{"df":1,"docs":{"116":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"s":{"b":{"df":2,"docs":{"18":{"tf":1.0},"81":{"tf":1.0}}},"df":0,"docs":{}},"u":{"df":0,"docs":{},"l":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":1,"docs":{"150":{"tf":1.0}}}}}}},"n":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":3,"docs":{"103":{"tf":1.0},"122":{"tf":1.0},"20":{"tf":1.0}},"s":{"df":0,"docs":{},"p":{"a":{"c":{"df":1,"docs":{"14":{"tf":1.0}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"o":{"df":0,"docs":{},"v":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}}}}}},"o":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"18":{"tf":1.0}}}},"df":0,"docs":{}}},"u":{"df":0,"docs":{},"m":{"b":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"51":{"tf":1.0}}}}},"df":0,"docs":{}}}},"o":{"df":0,"docs":{},"m":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"o":{"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"p":{"df":0,"docs":{},"r":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"x":{"df":1,"docs":{"134":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}}},"df":0,"docs":{}}}},"p":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":3,"docs":{"13":{"tf":1.0},"50":{"tf":1.0},"74":{"tf":1.0}}}},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"1":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"t":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"d":{"df":2,"docs":{"17":{"tf":1.0},"82":{"tf":1.0}}},"df":0,"docs":{}}}}}},"p":{"a":{"c":{"df":0,"docs":{},"k":{"a":{"df":0,"docs":{},"g":{"df":3,"docs":{"107":{"tf":1.0},"117":{"tf":1.0},"40":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"r":{"a":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"163":{"tf":1.0},"93":{"tf":1.0}}}}}},"df":0,"docs":{}}},"df":0,"docs":{},"o":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"56":{"tf":1.0},"63":{"tf":1.0}}}}}},"r":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"e":{"d":{"df":1,"docs":{"74":{"tf":1.0}}},"df":0,"docs":{}}},"df":0,"docs":{}},"o":{"d":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"3":{"tf":1.0}}}},"df":0,"docs":{}}},"df":0,"docs":{},"j":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":4,"docs":{"120":{"tf":1.0},"121":{"tf":1.0},"144":{"tf":1.0},"33":{"tf":1.0}}}},"df":0,"docs":{}}}}},"u":{"b":{"df":0,"docs":{},"l":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"h":{"df":2,"docs":{"139":{"tf":1.0},"144":{"tf":1.0}}}}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":2,"docs":{"17":{"tf":1.0},"80":{"tf":1.0}}}}},"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"5":{"tf":1.0}}}},"df":0,"docs":{},"f":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"41":{"tf":1.0}}}}},"g":{"df":0,"docs":{},"i":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{"df":1,"docs":{"94":{"tf":1.0}}}}}},"p":{"df":0,"docs":{},"e":{"a":{"df":0,"docs":{},"t":{"df":1,"docs":{"15":{"tf":1.0}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"127":{"tf":1.0}}}}}}}}}},"q":{"df":0,"docs":{},"u":{"df":0,"docs":{},"i":{"df":0,"docs":{},"r":{"df":2,"docs":{"143":{"tf":1.0},"24":{"tf":1.0}}}}}},"s":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"_":{"df":0,"docs":{},"t":{"df":0,"docs":{},"y":{"df":0,"docs":{},"p":{"df":1,"docs":{"130":{"tf":1.0}}}}}},"df":2,"docs":{"11":{"tf":1.0},"72":{"tf":1.0}}}}},"t":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"df":0,"docs":{},"n":{"df":1,"docs":{"89":{"tf":1.0}}}}}}}},"s":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":12,"docs":{"121":{"tf":1.0},"128":{"tf":1.0},"136":{"tf":1.0},"137":{"tf":1.0},"138":{"tf":1.0},"139":{"tf":1.0},"140":{"tf":1.0},"154":{"tf":1.0},"156":{"tf":1.0},"157":{"tf":1.0},"158":{"tf":1.0},"159":{"tf":1.0}}}}}}},"df":0,"docs":{},"l":{"df":0,"docs":{},"e":{"c":{"df":0,"docs":{},"t":{"df":1,"docs":{"79":{"tf":1.0}}}},"df":0,"docs":{}}},"m":{"a":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"168":{"tf":1.0}}}}},"df":0,"docs":{}},"r":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"160":{"tf":1.0}}}}}},"t":{"df":2,"docs":{"53":{"tf":1.0},"55":{"tf":1.0}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"u":{"df":0,"docs":{},"l":{"df":1,"docs":{"155":{"tf":1.0}}}}},"n":{"df":0,"docs":{},"g":{"df":0,"docs":{},"l":{"df":2,"docs":{"148":{"tf":1.0},"149":{"tf":1.0}}}}},"z":{"df":0,"docs":{},"e":{"df":1,"docs":{"55":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"u":{"df":0,"docs":{},"r":{"c":{"df":4,"docs":{"148":{"tf":1.0},"149":{"tf":1.0},"150":{"tf":1.0},"42":{"tf":1.0}}},"df":0,"docs":{}}}},"p":{"a":{"c":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"22":{"tf":1.0}}}},"t":{"df":0,"docs":{},"e":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":2,"docs":{"19":{"tf":1.0},"83":{"tf":1.0}}}}}}}}},"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"g":{"df":3,"docs":{"163":{"tf":1.0},"49":{"tf":1.0},"64":{"tf":1.0}}}},"p":{"_":{"c":{"df":0,"docs":{},"o":{"df":0,"docs":{},"m":{"df":1,"docs":{"135":{"tf":1.0}}}}},"df":0,"docs":{}},"df":0,"docs":{}}},"u":{"c":{"df":0,"docs":{},"t":{"df":2,"docs":{"118":{"tf":1.0},"67":{"tf":1.0}},"u":{"df":0,"docs":{},"r":{"df":2,"docs":{"42":{"tf":1.0},"43":{"tf":1.0}}}}}},"df":0,"docs":{}}},"u":{"d":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":1,"docs":{"29":{"tf":1.0}}}}},"df":0,"docs":{}}},"v":{"df":1,"docs":{"100":{"tf":1.0}}},"y":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"a":{"df":0,"docs":{},"x":{"df":2,"docs":{"1":{"tf":1.0},"167":{"tf":1.0}}}},"df":0,"docs":{}}},"s":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"df":0,"docs":{},"v":{"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":0,"docs":{},"o":{"df":0,"docs":{},"g":{"df":1,"docs":{"108":{"tf":1.0}}}}}}}}}}}}}}},"t":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"df":0,"docs":{},"t":{"df":2,"docs":{"132":{"tf":1.0},"149":{"tf":1.0}}}}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"t":{".":{"df":0,"docs":{},"v":{"c":{"df":1,"docs":{"158":{"tf":1.0}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"157":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"159":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"df":4,"docs":{"113":{"tf":1.0},"138":{"tf":1.0},"156":{"tf":1.0},"7":{"tf":1.0}}}}},"i":{"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":1,"docs":{"5":{"tf":1.0}}}}},"o":{"df":0,"docs":{},"o":{"_":{"df":0,"docs":{},"l":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"g":{"df":0,"docs":{},"e":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"185":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"186":{"tf":1.0}}},"df":0,"docs":{}}}}},"df":0,"docs":{}}}}},"df":0,"docs":{}},"m":{"df":0,"docs":{},"u":{"c":{"df":0,"docs":{},"h":{"_":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"u":{"df":0,"docs":{},"m":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"187":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}}}},"df":0,"docs":{}}},"r":{"a":{"df":0,"docs":{},"i":{"df":0,"docs":{},"l":{"df":1,"docs":{"10":{"tf":1.0}}}}},"df":0,"docs":{}},"y":{"df":0,"docs":{},"p":{"df":0,"docs":{},"e":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":1,"docs":{"70":{"tf":1.0}}}}},"df":9,"docs":{"58":{"tf":1.0},"59":{"tf":1.0},"60":{"tf":1.0},"61":{"tf":1.0},"62":{"tf":1.0},"63":{"tf":1.0},"64":{"tf":1.0},"65":{"tf":1.4142135623730951},"66":{"tf":1.0}}}}}},"u":{"df":0,"docs":{},"n":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":0,"docs":{},"n":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"i":{"d":{"df":0,"docs":{},"e":{"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":0,"docs":{},"i":{"df":0,"docs":{},"f":{"df":0,"docs":{},"i":{"df":1,"docs":{"188":{"tf":1.0}}}}}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}}}},"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":1,"docs":{"69":{"tf":1.0}}}}},"k":{"df":0,"docs":{},"n":{"df":0,"docs":{},"o":{"df":0,"docs":{},"w":{"df":0,"docs":{},"n":{"_":{"a":{"df":0,"docs":{},"t":{"df":0,"docs":{},"t":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"u":{"df":0,"docs":{},"t":{"df":1,"docs":{"189":{"tf":1.0}}}}},"df":0,"docs":{}}}}}},"df":0,"docs":{},"m":{"df":0,"docs":{},"e":{"df":0,"docs":{},"m":{"b":{"df":1,"docs":{"190":{"tf":1.0}}},"df":0,"docs":{}}},"s":{"b":{"df":1,"docs":{"191":{"tf":1.0}}},"df":0,"docs":{}}},"p":{"df":0,"docs":{},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"t":{"df":1,"docs":{"192":{"tf":1.0}}}}}}},"df":0,"docs":{}}}}}},"u":{"df":0,"docs":{},"s":{"df":0,"docs":{},"e":{"d":{"_":{"df":0,"docs":{},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"df":1,"docs":{"193":{"tf":1.0}}}}},"df":0,"docs":{}}},"df":0,"docs":{}},"df":0,"docs":{}}}}},"s":{"a":{"df":0,"docs":{},"g":{"df":1,"docs":{"142":{"tf":1.0}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":1,"docs":{"66":{"tf":1.0}}}}}},"v":{"a":{"df":0,"docs":{},"r":{"df":0,"docs":{},"i":{"a":{"b":{"df":0,"docs":{},"l":{"df":3,"docs":{"60":{"tf":1.0},"61":{"tf":1.0},"92":{"tf":1.0}}}},"df":0,"docs":{},"n":{"df":0,"docs":{},"t":{"df":1,"docs":{"14":{"tf":1.0}}}}},"df":0,"docs":{}}}},"df":0,"docs":{},"e":{"df":0,"docs":{},"r":{"df":0,"docs":{},"s":{"df":0,"docs":{},"i":{"df":0,"docs":{},"o":{"df":0,"docs":{},"n":{"df":3,"docs":{"123":{"tf":1.0},"143":{"tf":1.0},"145":{"tf":1.0}}}}}},"y":{"df":0,"docs":{},"l":{"df":1,"docs":{"0":{"tf":1.0}}}}}},"i":{"df":0,"docs":{},"m":{"df":1,"docs":{"30":{"tf":1.0}}},"s":{"df":0,"docs":{},"i":{"b":{"df":0,"docs":{},"l":{"df":2,"docs":{"109":{"tf":1.0},"21":{"tf":1.0}}}},"df":0,"docs":{}},"u":{"a":{"df":0,"docs":{},"l":{"df":1,"docs":{"29":{"tf":1.0}}}},"df":0,"docs":{}}},"v":{"a":{"d":{"df":0,"docs":{},"o":{"df":1,"docs":{"162":{"tf":1.0}}}},"df":0,"docs":{}},"df":0,"docs":{}}}},"w":{"a":{"df":0,"docs":{},"y":{"df":1,"docs":{"25":{"tf":1.0}}}},"df":0,"docs":{},"h":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"45":{"tf":1.0}}}}}},"i":{"d":{"df":0,"docs":{},"t":{"df":0,"docs":{},"h":{"df":2,"docs":{"60":{"tf":1.0},"61":{"tf":1.0}},"l":{"df":0,"docs":{},"e":{"df":0,"docs":{},"s":{"df":0,"docs":{},"s":{"df":1,"docs":{"54":{"tf":1.0}}}}}}}}},"df":0,"docs":{}},"o":{"df":0,"docs":{},"r":{"df":0,"docs":{},"l":{"d":{"df":1,"docs":{"32":{"tf":1.0}}},"df":0,"docs":{}}}},"r":{"df":0,"docs":{},"i":{"df":0,"docs":{},"t":{"df":0,"docs":{},"e":{"df":1,"docs":{"34":{"tf":1.0}}}}}}}}}},"lang":"English","pipeline":["trimmer","stopWordFilter","stemmer"],"ref":"id","version":"0.9.5"},"results_options":{"limit_results":30,"teaser_word_count":30},"search_options":{"bool":"OR","expand":true,"fields":{"body":{"boost":1},"breadcrumbs":{"boost":1},"title":{"boost":2}}}} \ No newline at end of file diff --git a/nightly/playground/pkg/veryl_wasm_bg.wasm b/nightly/playground/pkg/veryl_wasm_bg.wasm index 6ad912a72573283649a78900607383e64a1c0e0e..8a0424af31f69adf5b0a974353ada5a936791904 100644 GIT binary patch delta 367105 zcmaI9dt6l4xjvrR7zAc}BSg&2fThjNTx@&N=Cmf6=G%MHm~(oXq|HT>(>BdLZBLtC zK^+wp71$FNDk|uxsHlh|5+sT`Dk>_%sAvd+j*5ziI!082zjs~Uz1P}%|NQueylX%2 z^Iq1wE_=_OSu+Bao_Ph8p7MQTJSE1cKi(b}-hPmH;6+(FzBpdD3q66%KmaSDSz6 z7GK8TnuN4le1jg>Zqcvb>GicRV_G!dXt(i17?1J87nAALmtVXWOy;c}>M@Mm7gdWB z=TM7A43H&;k?_)g2nUn$%~lfMJ}-^Jo9o!YA&%UT(T54 z5o7hovW25BXK2uP>#vy)^M>9!83hfHYE zJi{{L@O%kLQ+m&9BQ~q>!=tgkrXL)-s>YZXxwBXY8FZePCciXMfBUT$r@b!+gK!vE^;Lv-=K|L)p zzBLY^Ig2GIwYzEDNDUg#3B*v(5(&V~9xq{LjW?3D&=OF;2TZ-JRgxZM9{1ak8Z^%O z?bEz8;v3dMRqD2?9QC^ltzn(<;_tA=!j(3jWI9s%+ekyp^Fr<1gP5+;LxhddMi5Ezdq}Z;eca)?0jcNSu`x=c;Pk z==J|0LxaZUf8d-$4c|L=OT+N-w==ZR2IG~t(d5+{*(8tW>~a>5_D*stTvlzbHf?*B z8+{6Q&N~^}^3RNlcW^GM*<^F>r|)KH(D=c-n9P=1M>0FgnDN`+OV;4(1MeYO&Q|;A zuYGT1YR*>h?`|nKi%kEt(yh`&#UsmG1>{4G%=d?kD5aXIlIFrYy=nahYJ~~26Xqn~ zBpr8P>mj3i9(D<5si7>l8xMbwtR2{D_&!Jxvrkr-v<*}ysP!LYXjx(7iw}M-Ad_}D zCa9uv#uofAId#$w@M(zT(`+l6B|D6)Y^*z4;g5Wjp+Vy>KN89GWE-A8$$i}hfb5+{ z{2v7%KD-;~)R!?JqY-;z^zM8UdTYCV?q)~G$`d4GFAYmFLpf5Xb3drI0TPzPWC z`^eO}r-1WbsZ=hRoN?8s4jJPXsWp4?Gv>}c|47!VPZ^{BA!3U=2Uu&$;RP{oBg57M z_S`9>?H?HBg%0}~{q8?Ure5d(PwtUCIcIvJ%{*XD?V$>{ulom zNgKWY#_aDnYs-GlzcVywtoS$j74Ec;yC*YYh3+)o%`|h^<>;U@n|VgElC`;A#;7d8 z7B}s@JmP7-Kg$}aO*?O#MTl0@O$Mr!`q%L=vvnKu#-mT?F33Ldyw-1J7N41roO^cvs9K4VUe6;pT}WQyVS=r#u(#HaX*}zC1+sk5M+Z zzx8KmRbLv@{TO)96}fC^;Hi@`w4N)*=t&sW_N$JKXhj_h>iDE&4X$39gdKbKy3}nv z{vA6RbnWEi)U(&Y*W0A{H<`X_xxMxwF?vb{v>Is~6S%a(jGtt4K={5(4&l;%}!QwFs5#2NF9yQO( zNQG8)qMU8TFlDA)4voQi8L7~!Y7kRHn=#8cKMzBsi7m^^7%^iO zC=8WKq~H#=QD{m0BC$0Qmyx(YE)>G=1On*C)qssH?UM`* z8h`W&cF)R!X3{>;EPYNFI>_icKS|bB7UUO*T~FM>0(;+WO$?FzjG$Mv*ORXJ$hm6d8l(h%h|{**8YR9heC72<1-s(rQp*do|q3eQ%jB4=VPvmmKi1U zaX9gO%xGh|zI8!zYSA*VA}?3ROb@iCWpXuB@>vTqpw(EnKtvXIVns7~>JhUv@#;bhc!p?Ij#S~5h-sxU5;V8ks7mRkJd z!i?0G3W(z_DU%T^j@q>{JJlGtC?mCYtxcoJ(zv`ncVTKzg>LJ%`kv)+cdV=-(_)*DYP#-MAfrBdcGM^3ShIk7l7wYD1ElkYd{EcY(T zn$*1ymSm(}v@7he6dE>2rl^8eMi|?7z z3@uV){Gk-%YlaQ1t?+73v-k!rOCHg@5xC{T+sr4E%}HC6wj`P3eby#>-vyV!qPfY~ zwG8d_Zj$WK;C{6{LxaYDE=RBM+0S@or@D_j< zY%#i5h+>RCOyTzN|4~_nc6f_H%4jX*RS)%qCG*t5M^=v1J`EeMtQ0hHi?%fzkG&x; zTVDWV(Kf@o3S%hTCq>DfI@?WScwqYk`?OZL&z9R2X1{3-G7oj;d#j-o_8H?=V<}|A ze!}cGia4}7BQ?9hM!C$Q+|*$2qd%3y!^j3>b~&as(g^DJN|PCn;M0q&7oJ*^Jc1*n z0lb*k!h7IS7PbcQ}8J!}Ugs3||dneipBGw8K$h(?Z zR_~P_m3XKx@2rPM0!NL!^;i&}AGOtQ|EdfP8XvC0cq-c^4(?0$HI~fQs^k%s?KbOE zdDj}=PrLyy8|w5P;h4N_;3Q?&8Oe-KleOS6qvTVx*KxvOZ)zX!yy|2PuKuoCq$zIa zNuage8q{;Fz9CuLdD2MR!0(oZdQQu=#XY!wgVDACG<4eN-hiE4e#SQaeN;12D?ei_ zsKNg6cNy(9xbD)tKJ?khANso>6}nXAU#7wqs^8P_X~d;l?$>Vd_51mN4PO0ns@Hc5 z{0rtZ-ZHp#z%5?*Aj9*xRx}9ykJT7OpS|-_3t#QkFA99f#M?Ll(=9Mz8^##3F~z8> z9rT{U*eTFa8`|^|7|#ey>?W8Ff$`74NVsQ48(4j5v(0K$po2EFZ1tGHgl>Xq6PU0K zV|=(N#ptkGZ4v0G4J}(eA~3O=V44NSKU0l0zc$7Ac#AF6hyVv|VA*J+z=UpsX%LvO z4P)ej)r74!tMvjMwV`FJy96e76HJ}J_-Co18flwTj6%EBus{cGXxZu(feGCNQ!6lG z8^$OHtM`X(vDOH5)P|O=Rtrq*CYUOL@#orNeR@lZQDwIp66l}}EnBS+n9xlyJ}vw4r6I zTLdO_6HKkZgl!n39IW2IXF#UByM-CEM&P41yll5xU}87HR0)i~P>ojRsRWEMW_Q2L zz)e6QK@_|Rrb1vsH^Gz(OxTGrns&n!?rb+_s4}4&y$NQyz{GBXDHRz1Y)4XzH|t>v zcea~81ch$!CYXf+6S@heSYX0VjB%-c$TuR+cGHIEU&eTOQY%2iR(8 zj)28x8{_s2&CJ<;5K!3zZKy(l^3Rcy0rybe$F-IL@PFj!0XOmjp%y?jelvy=D5eO4 z!gfZGskm3=)$@VD1OGy(!x+LS0mDGSu<@8PzDln?QxHT@g%Lzi0)ioeAb2fuOQth)>tGs&O?L3VURN+}b2?*|B1OsmMHEc0mFtYZgJRCz154*?;doX%kYj(b| zd0$Fq05LpHl)wmT6mB*(%sHkM?!JYWAynaEq9hSCxDYJy>Rpr|6UrrmIy_F4B!)g0 zh9KOE3m0Oj!lRz&jJj-#Glqp;{p9VLJkk2lq7~-E(}Fp{Rn0ORd^QWJF_q$>~JA-z#OlBP%s2ghi3sL$%S$! z!+=7s-iTR16`lo@B!X5OK_-3nQ{dJ2Vir(`X8|Q(z{$to;Rs?tz^m`VET9U{!U8J` zIQ`AqXO5Xmw`7XPPx)SbJ7xiOcot9s7x=Kd)mJSu2!dR%z6G;@Dm)7)0Rhfl3rvC^ zSkIqkdi9N%1=QhLKnWNyh(ir#5Jqkj41C1!u#2s*ak6PVY-#Lof`N}19wthXmnSR@ zbKqxt^$H9VRd|>v0YRdeYqq-(O!n%lC;=PzsKdiVNn%*+vQGHD`f}ky3{`m4C05i) z!i9|!oz*hIt1r3zS7P9!4$lHg;KFT!p?trSAZs5R3&qti%M^jJ_iF;RQwCAKyfuX=R$BTNiPrt0aW2}q6BfW`pASo7OZr7-IJu} zW1y(R14RiGv8tDqI|;r_(r04WsKN-MC;`Es$20kodfbH}mZVR^ET9f!@Gr8mfNNF# zZl?9kOmj`X;nLmRN%{oL0_yNApoDpZ|Ccp7UFb^E`%-wpMNoxj zK}hgC+}c>|uo#TuLol`#6@SnQ8^`ulNu&J`jBUj5I8l;pw6r?C>`2lt3NJ&b!ox%f z2ykFkxojd&Ch1+2fQ@a`;c=n_3|KCO>&*$toL-_y`WfLu3{@C`f3X!cmV8Z>li*mA zeli6Yb=2WmKnYw(5Mw)9>ttw4(vM*lP=#j!B_PlRL9YuzYZ5H#f+2!BJPRlR1J03^ zF5A$SB>f0x0abVwmRMQ9j##$C5kuyHBT4!}!4N!$b*eV7-Men>Nf*SC^z$V3?@F!$e6UXmcUh zo}{m$1Z?1=4i6J0DN*yTI$a1S>C1%+F;wAEFSDY?7&@*y3AQBZOU!|fIy?&~feU#5 z8SHa1)F$Z*F$<`|vw)J6g+r5^^XEnw`11ur1a){8P?8wBT^MR$#m6k53eUoFD+?Gy z(Nu>EnFFd}$rlU()Ztk`30%PGuV9LUVL%nE_?QJ$;aNZl2(bJDxher0_?58aV-`?{ zX8|Q(z;Qc2Pi3ID10h)PF$<`|v#`R-0urpxmk4m+SHO~wSwJ101(d)Aoc^{O5`!4{ z<*?#o7Epy}0VRpxgh?O`{8g~z-zJuP)Ztk`Ny?)?V7g$`9)*FA7#?<+6*kUR2PF+p z8w`BJ@GwyV8@O6lEii4E1HTkjeBopWRd|>v0RdLaWS6d50xLcxU;`g@c$g>w1CHCB zE<4O1toXu(7^?87S6WeHSJkd^#;_1p{M&Fhk2*XHD1i&e(7D>lPz)_b79DbB_6YYIy?&~feYCAr7m{|xv<1z7Epy}K}avrtvOb3z~$~>CM@xo z1%)Asl0*%7Uz9B?gBFAxj?)Ztk`30%N+qPxu*L{Flgk6Az!o&}Vo zEadQK{pO>7w#0v#sL#YKpbpOhN)p2<8v|Y9V~P4S%mS+LEUdM%fP=33q!a@#@fQ>I z$(RMy;aNaQ%ECdJK`imziTVW00;=#VpacZyLgOiPL0aOw67{}hvBaYe&jLyk!&#G| z#ArJO10OLw?2r{UR?lbFgH9v80|q`~c$g?jHd-tVbKrL*>KBERAynaEq67q~V%WAd zJGaFr6ZI}izy?0*@GwyV27CZBbuuQ(daxNy)XxYPVx|hb5C>|v3d6-`UDY~3jlL1+ zU)Okxaimi>o;sb7Ui4)Xe0)`ERwS(_FmX~~1M7^Z`i2h6I_HXt8l%splBd4rN$@sw znh2xzbcz;QXN>6`nqGg_Y&Me!^lgGZyw1q%9-0<&N3{x6#7OT-eLiSekf~Y(C<;;W z_Z)dL;C8xQcOHwyL;M}@mhWf|2VQ1HEV@FBh9w5tz$1uDWl?LOm*syFtZ z=K=Sebp`AaG%-$-?e0>Y@l-dbY3XwHdApzqtY>+vIqzyLY^($tC_r!Bd6UL?>>L!% zdRjOy&LG4r-xm`nF%62c^3PB&L zQgcwa)ns8QgW~T;2Z0#Ud`BefIsWOIi4;}Uen@b!CEi-(V?oDsG z~oKJPO>rT@=zA? zRCk_2!Q-!HJTo`DX09OFSa5l$w@?~6cU-(%3s&>uHlnV1$`{-r#{Hl3-BGy$6|Po` zE8K0mVr&Fsk!oYywV~-t-C1%lAJw#w)3>;zrU_K6n)bjQ$JhqXQEJ)AM%tC3-fb3# zG3V0Vo`CUDPwE}vKG#_N$;QkpL&@_VSed#lh%q<@Rk4BBf7uOJ)htZF1{PqB`#jV) zgs-I$h8kAMp8Ysn?=}9=bMLU87BhA7RCdiM?zuND#-36-Gby%|%yUg&V zG4Lv%Kw2$;k#`v;kQ$cvcB@&|yC}#*HLUVivL}cR7rF&1T*FrK7<)|UKy_gvYS>1h z)7@gHk$#PNnjUipoDnqe%>*czVOQKy9mcF{sor8M*+$ZpyS2b)%=9&Pov85@nEv2e zg4ch->~JINO3GcK&(spXYDH+Q2Hx;zEIk$MNsS}$Rt%h>hE21`W#dwc>h# zfxb-N;ttp@Xabv98P&O?!p3`jyd)YefYE&|#S=1KxRILHY_-Zct2NUBp!B2eppBT0 zO>EShbVt<)RFt8Hb(fe6mu#@w$hwhgyw;nLUNgsa3aJt_{#sTaJ?_RTjV?~(?Xadi ztCzY1qtGj?!zce$B@$aIOk>t|Eboa@0C^)Et3| zZDuo7o8_+TSD`@pw=nNNbLT1$sK6GZ_Qp_enRUl!wBLXgWeeL&)w(O^3+2!j{>Eib z&LNo3)Hg2Swl7z}!U9${!xc7Dz#`0*^cIG4ltGR_ML8;WCgpOVrU_Jxqw3sI*#hO? z%J&An?y$)M7T~bV@>#An{Q?%;Di#=a+Z{3``c`KcrL=xN?dJn-4EAa8FM_T7k$@>t zjTlN)S@GlUSod}`?HwPKw4!I}K8AWTN z-U9^e{Zmw7K7~;Ng5i|lxA4bA!^zN+sK*3D1a){+D1jopy})kLTPBzT&8*xbiF&sn zh=s`u@FMTar2%S3eMJ{wT>xVbHnz#322cV!_^w$uBT(zI86K#R=Wq24@6W;wZzBb9 zp7fV$KB2aHbUCeu;K2ykqG^qq?EG=Vu*bEWfncc)excFsBB(`$i?MBFP(RO5Zz=pP zqkxge`gu~u__r&*n-cATAWFPezTmYI!dBidrbIO&+ex6GC*2z>q-I!ckXkVFaLk0U zgzXSU!SNBIE9&959mO~zo?AUBWOcmf&a}J5)s=J1t7b>-OVk_5>3Gj@`r!&ZD(%(b z{~PR{PEFsQM18O5(Fj`RC1Fae&{5*y?&RV6>y!kc1g7BmE;D%~lik&;HtQ1g?ZRdd zb(qbNDN&nYl(@~!VmM+e_Yx(^W-)s#BuwJd)UAp7Mqx9CI*h@;6Jww@11NEupK_Z) zY~@~}B-t!INe7D>=Eg+5a_~?1fDNM#V~Cg%wHZZ;+g!tK#;}!pxr=<1=(+9tr<`U! zP1IM3$O5}C7WOS;Q=(QvyU6(dP&$t$dQyKQd0yP%qzonMO9W-qB%zcsQ=*jq-B_w@ zzARymlafTwF!FMe$4dq$dXj!*JtbY8sLvOyA=G5Sg-waFMo{AEn9W&zNuICW5oC|i z)GRND4+{p9e!{(a~)tBG@i*cuwZR?x_V@4utSZL;O2$K|199xMaGW(ZZ7%`i$p zfbVGKmeJg*>&Prv90WlGRme-aXHa@GL#xXcpre3h{k4y+Zs|oUogD_SV*(ZvuyyXR zHUaZDFt%ZP-C?byc%Ubhysmo^(oVTUS_D&&d<0OhvC52ljKc96;3yREVLxJr;_126kiZ+^K zjD5xgi!Iyd6vD6Y+V?r&T9r_Xp&IK9e-m~l?Y;m?v@g6rAF!5pMzD!=4e|^fo?Yo= z9-pXJaAwjl#50WV)FMs9H`tT%?I`jwTQO6jw)_#(eY(wF#!Uqx%v5?Q{K&68i0cV@ zsR$w%VRr-GSsUmS#ml)JEM|s~m6w_+QHv3jc%_wyO2by}qbV^T4=6s)6Fyq4Eb+L( zET}$|>2UgTf?kA9qaL#qLJ3A^ve+jD8l5xlr3AeY2&g+@RAB@Wlz;$SV8eTKPqnkM zE+psy!4O3q9;_*`U=NbVl07N!1`c9L&?zuzN)!`9iKlQnPe|BmRT7f_nX?nmCg{^d zJ|YJjN#5I@+r14NtzK*Z7s#j-PwG$z>rN3?j1lo}9&#IWv;UCnW5ATCk3p2Uk2eOg zwI_tFEb=f)QtpDAoT-Z@=)D7Z@*}7M1Y~WB=U%e)HqVcKRCT%Omh^r=L;rYO3)3IG zG3Slb33?BxC&Is2v+S||FiOKQt^fdLzoyTh&oy#;{m?(hrQ&zLE(B({>fQ#}uO zYp>AUvB1fgR8PwAP^+vIHYKVQVM^(lFovV_6{;Rf*{lPw9|8OQ;Gh4fOcgLCN)<$j z=V_m)Tx@0O2%8c!j#An{w$a+nRia19W5eKHwg7(Y8t-La7zXQ4>?nO!G`y|J`Bbwb zL9Y|ZTym#pB-sJ@VQrV_$W)VgDnSpEzB@g`M?$pt22VpBwWMylP9*5Hz)1a!w$V;Z z5A1YyBA_;MyS^AlEp|uM2$a8_akaRkss$>*P@@C8TrE}!RIpv{jgTo(pTq6sKkkG( z_1-fySu6)++@0`1AZo(cI5Z`yr}xnk=r}^aDrYe7{bT-bL$b;8BX1~0dBrXd_>^V%l9}t2yjIL7d=kK-VKvP zhdXSJfW8`x{Ik@0s!!TY03|BhPgf;lR|Ou<0%G(0{h@89i7NbhvV1cZ&Cpeo#F z+K{R82a;7LRoESqE2zSp>Wyx9*h~S7MCJaBq6EExuiQo_(($PdyF;+T0s$+ND4$|` z8>q|bZWUnJ!jAt0hlTdL!X^t?K)_<|Fu#BWIm{bsG}*|S;m{W$q2Fm;FcS#gWC{JS zfR#0w`ZBC<0ACj($mR~~6|g9>MO@jg30RE7hIP8bddP(laBnt$xF<2~fGg3Lf+%p3 z41qEj*5VF}k=MTlM7@Kc-Y&XBE()g5N%ql>cf&zykZ*p9(_nWL`I=`inUUs6c%#$0 zB&VoLFhou&^@9>0&1}CIbNLMYK!Sb-2w44`q`UFdv_sC20o5VQ_)oDtduFKF6?Rg< z0;gnef+&GEuynFt8t7&OYBe{(PC$4QLKVIcq67r+XtQiU2K=!+$J|Mp3E7{Zw+e>H zDYh=AcN}(l3a}R8Y4j9-U8C%XnR%J*h=9cetj!(PEMWc)#zs27=1EGm_J&~Zpg;sT zBE8{rS9=iw3v$>?*)6WHMga?Th&7_|{@ zb#&Pmu2$=XdK9g)&WV{4EhGPF@<4{?iC;GOY44OP8%pTkI-sEY+sJ9TK%%C^DiI~V z;B6hiHue59jb!YvJ-3f2J4&5XC%A?LeT#4|AaI#=?zmck3!afZ2%&@(2LIP*I}2=Y zf?fjz)XlJ|!gCLm&F;yo+L(XuDnDTYdey>kbdA8h>o`3=t_nSo=6xCZ^heoxYvpqFzEU$|4w zSOg`=6n?PN!U)u|1CwPyK;4X@3J*a@?0draNN*>cEFu;;@-)Qss{fp9G+;`UFNhK? zl;;NQPJsX8e#`~}-JvIeXXhE8`mx*|*aU$`aECx1e!z2A8onu94(E#47uemf-63y1 z;Q1yQe!u4%_r)-|^bdQg>R~Gby!3u)z=Lp$^2z<4$1=-KisaHi>>5xNg(A73^KzVF zlputA#f;g@2-M_)$$&_11XWmaqeA*Ay-mUHiNGNz_5gP!=(&O+rZD)s(IJ}ZKsTB3 zfG2(UWH_C~{xRpy1U&~RXg=?F$a7cvJ;LD#!>SJNfSt$y@;?v3L-~mVNcKaXyMF=> z!uPq@mbGIt&8oU(-2%G>;b8Crx4|@Llt>P)7{OKR|dvvhgtMhyG z@I{#`f)Y4`U$)3O>&(_RxXA|sFi7@}^bC7~{^j#u5>$M?x}>xDDuAu5KZ2%2HA0uD zvv-m04|xVY8)1&Bx84wRH`t*!kx^5V-GQJ4j>7j!*utLONgd^zhP09NiAhY3EnrI2 zbPy%(>?!VS$ZEym!{)N`bCg=0Yd=fSPl9jMn=q;|DIz5Cb(SbzxARqWKc4h@*Q13@76Z9j3A%r@NA#6%CJrR_+(+9ZI zQLB}u2Q0Dl6rXpdXKjL}N60T9g(>62!=Ae{I}Xq~RJ(UAEXAUG!(YmM6)`31OcW*V z%x;lEY~>leg8Eb=fD+f(q5DYIBc6Wm2C<##giML*gi+!;Te+2p)yhJKQNco4wh|ETAI(OGky$p?x`Ounf^DI%uClFum8Goi29v!1F2 zu2dC*DsT<$Q>vgTQK}G1Ji{w_hQrv(`XpjX%s5JE53*-H%S6{>GgI+jN0Th%>*U^1 z5OU9ar=Lp_^d%Uwsl-AyC1#aTq<;e@mI!@&AjU#gp9lffLQX}xzvUT5p9{fT32f6D zy6hadL3j)RaF&H$GWeVDpsJI>*qlN}eG{Izg?lj$=8`EfmwL&Y-}IzEOR8B^$|KH2 zuzCVFebVRuN~Q>)1kS-@W$xS+(>c-rR<+<){B63Pb(C41EJ_med|;;Tgg9*U0hezf z04!I)!f29rrYW%)z9Juf%afj2RZK0Z=`4n|6JzL8Vn7LEfH!0U=n~}!+#rL#4ROF;jg5%w zOI@k51Xb8nX5DH^EF~!M5pmtimdqHovH<*9P5i4wEVuwlX_;(9T;VD~vsvAQ_rZZ! z_Jyl3LH`ndq@MLEsxc{y666}5wy;IDqr&;vv>-vh==J_5^CyBjj3H`DG`bi{Ji2q- zRsZ-Vk~iFQd*&x!IejzWS-bEpFdpNko(53@-(U`AzC{>;TC#a?j}8RXd&#>p2 z1F;Dr0v`Y8BL0bTTme&}Hi9T|jYC``G?BdU9na9r5S%Jz@62g%ODz%>Mr9Un1SLoq zdsYDd&uXWhs|m|a&>Ka(H__waIX(u9Dm++}fB<&k zth{O`&=@QihS0AH-RQ5^3I_iqvgUX2oVL}56R?dU)&Pf%&Yh^z30RGQ1t-a65i%v3 z{O}}_`GjXwdL64gb>_@U(5rv~?zVjX$z=Z%P$%_%XYv77i4IMcEd@=9S_+}W3vdnZ zARz`$xUQ%KhN#Md*A>$d(mF&ua|LW$SdFX45PO`aHGmM z@h6<~O;3Nl6yVfaaEk1E$dp)?rWAaSKD?Pi);tGUSd#5De7V2AP-G!$>awAZ61W1l ziOiKwMqu?n?XXMz_4&dTf41yOz?7Jq*-fM5(K1^MWD`VfhE0j8M6yZC_h41YSxm>M z+Q;Yn>jhwyuJAEaiBkBd3Ln62T;Y4B(zw;z&2#<~gq6 zpFu7>1LG_P2MpQ`AMdYsif98fuw!V-f+#_);4&VV;~ets{q+tYU`2^4%(Jj5(QAbpw}`W{B04*Hh;`-FyWo%BJUW2Yb>~Qb{RnyQ2cGmaoLt%h)KS$=bASCHS@i?YaIt+b*;z;J z7ipg*=QChRG#x>dct`Ew8lhRNLNd1$ICIn3U#}Or38OL#ErJq6gbQNRJZDEW^w;Y| zZlb8d2x6v0J@e-_4V5Rvt=zRhE+3K49wkoS_Vm~3xoNCya^;{yD1mP<=GbIiTxjN# zjQs(;7Z^qm4+kYsfgjmo8-!fL>?l$KDz8To!~;MHRIpTgEGy=n$BzDbrO1FkPj)k4 zN~~}4NXj$tnRjTRIh@JU&%k;W&g0$F0Ebc9$IHqR|eQlffe&QjS3i?2vj6jgYp zO^KC?(R909D)U6C7-EFabGo*nzg{F<3mS6JA(X&1bA&Sjb>w~8UoRA{g;9maW=hnx zsL@0o`ys54!2m5CbxyA8uLppG-G&EbCjzF#GLI6^{46m>v6Wd3n-bNCpu{z%C-EIt zG|)tbd=owhYFOaRNCm95A|o+@8=hP2ip%CWQu<@h$gfWn?tCH#5H=;|&L<@ANAM|2 z)=xYSJ$*fqtsa2_fft{@rHhp?3r-WY*U_^6`ZYLPl@03xGWf^vD5U4SSuJGLkLfFo z$j8%RO3Xe=JRO&~2T^Qg8ZlF%8h&DWNALB{3!kx-yJAY9k?9Me$c>!kDq*XYE%<37 z%vLzr)E;JWfBlRIGh$Q2udmEsLek4_d9th9!Hc)Bkz>^)}&u2-SFRm=fy^lz5^(PlUA!b}sEd^9&o#Jn_#~ zJUJwiKbse?w+fDH6{#a1{uJizAmW*^5K1tBajIXk&#IO+pz`f7f|yFgl&F7El(>I; zxcS&@ey4P8xoO>;&+}nP6oLEa2;UiT044ARKHFi-PTdM;7v{o}2n5u65LI|ErbMrW z=csqoTe)iy6U63ul(7R?~XHGMM14wX(^xo#w{(6mI z2+ZZ3lU~2lnI6DZi}VEN63?@+L!Ge7nFN4U30R23hLyX+D#_93;PsF}&%)~=ZSIhe zV2TKXxvO0bRtQ*>!$#M*!^#CLhNAbOyrdOW>vJFJYU;^uusov%R1I z)(bG2sDOY?-{1;^pTuCWAcuKRTQK5#!ISbop%NTa>UUh{!kNx4X1^4CVN_%65mTb6 zik6VU|K&;lA$-uop5*%%Ix__kTm&lmp+I1voT;EGQL4~FO7%ddA5MX`KfVD9_*o@J z5jB-)N@6HMvT>Bh7=cN?0(T9amQGwK#(%>WJa>b6A7w7xSL5}Az(MDSu&G7Ei=YHf z;8%-do1IQviPsy26H!#*QJ@3_u(>T8K)+pF1!ra3!?+x;@5L~dC}E%kitq+G-#t{C zI~g;EOY!ax)luh)VzG==`9N;D_|Gd%fV(d2G3nayZAMFgyByQ^;@0gDP)%pF!CU@-wJ-{HzuE@1vr9%;8bY?XioILupK zXR?vp7okUjLci0xASZOW&<_b%*-lemhLs9fxRiZNF|5-awuH?1HEh2&{SLNYWxHIN zf`Tc^nbJGlVG9K;CSYZ|UD=8S%)gBJ<*l}0WXQ`9et=&{@3Ah(aTN*uAcu{vs&_Rr zN5DeM@dc4QU`ZYut?%zF1zZ`4mHfXXkZf@QKd zA(UXEV874-|6gi!rtNIJo&^NdUKmx_yc0o*f6X#lMjn2}GiprEG8&J%y1``A+n=v) z!Ig>=ro{MB;xp%!{_KwRFR#J!*8GZRxVL(_nJVIW6`l(QS1DvDK_qx5-x6^~bShr& z25*=}RN)bs61^5(MgIIMJQs{CG1oIv3|1fZua>O_Py(x87ZYyILDMQ}2bIhqf|#8U zN}z(ju5!>)F)Qv^ydFhIQH48-5)j}s;3}6NhiZ%0+b98j^bkcI#t<_l8mhlsM8p&S zIZM1RfUQg;h?11u`erju)9KIS^=9F82vx|?ex5;Z_zzRGx{d+*AfRb&hY`)B2uffM zpD)xg0(EzC7&ZpNT(q1#Jr>rnm^-XN*og_4|A^ChVB0HT{xzH};0~)7uz-LC-C?@~ zEXZNrs0AbA#=?vf68fc|yLz)-=!XTY(;XHTu!w;5y2G{zSX97rT3n6R3Rq0Qirirv z1EcJvsK_1H4$0MZdKD~9;Gm~*rB@0 zg!|F8?AFY?#e$KnH(_##p-DCh{2?5e){8%NJIuW)*$gW18`ZMUK~tjcgizumyM&MI zFt+lchLRLzQM)tB#&~_ch%#!b^y^3F4EN}7G%y7si-C3gosKGZSb?w;6tL~?uz-MtILsTdVC040!f*@={bSYzb7li?a)o|Gz`EUG zGX*RvV14ee907|7Sk7_R6igE^e~g^!vSMls7kIF8So?-_woadbZCVo&5#9pibEP2gIX+` z$yv#Ur&7B^N`jsom9W;xhJly{Qz0BHvUe$klrx8 z@fP3sqqhvcFd|JGJoOv;dYwKyN1n(r2T#s>z_aOEgpB#XW8+yV@vK#N7H%hN{-XNx z+WVe+w5oL^uUBo~0qt>DwnfP1w>6WW2JqMipb#!6&wrpQ%%<&E%Zcw_Ry&VtW}6k) zGR2=0yGiNZ`vp+$5#b%|QyR)U;$_`Q7xmK&dsXKT78E9%$dQQ_J z(HIKNOchRPx+qPX>J4pw@&6$Cg&k^f(hIfp!ts4-uh0t*`~@xq8{Eq9;4v$(sftHi z8ysbL9eA`;YWoN_D!!6vCMh&G4y$IVKYRWPJoAny<;)WlJZ`x&Uf~HHA^Rt)Rk+|| zpjmay(Vp-(2)BOX0g|3&RVTIk5nRx!%1G|tRH7r$9={jPX7VMI@$Y+5)e%22YWZ}< zE06fP)k+A|MA_fLgny;W09!86TvBK%RysWT_dkFpztPctinbqjnjP~`Xm5q@14-kW zy7MV*&uLP4c&4YGu%bGxxD#u2&`kdqWOU0>HKQX~&##tvPAEL{s+>Ll>c4@gy;_~H zIMG3gs9hmy-V8rWHAC&nM>8R?u3GYoOtmWuY5SJ#j+*dfL3`OAl9r|7--dS2MN@D{$)NBeQ^}YPXUb z+D>RY>`ZMnUXTmTUmUPElRwb*8wV6Gxi>|fR!~zFZ>Ao0Sp8le%wap>_&(q5%0kGe z#4QrlB!y}*9Jl97QB-IU1M-2c&v|VGn_*)T-FSuWK(ljma}>C=w!=z<)W%N?XwTW< zm~7TSyJsOO30M<5h2I%~n!eWNHa3o)voduhNnYpow>!*s0*`i8ZBJuiP3p2}E-5tI zNfuGP+4u=~Q+rDFhPruQ0nmSb%F)lcv>kpR#a0&NDs8XpP&$C zu@7cLigLCeB%XM7i(`1+Vz+3`v^@e_k|C>91>YPnT-Z*=&f&8t5-o@JxQ)jmq0z0I56oh-Xeb;Yg_f|;s&$(bAe>)kx$}+%tS|y$)g{N&>q@Yvv^A|c^Hkv0zux7Cs%^DV=)eJX7)+^n?o9y@cv3T&t1P=gAm zG{2b-G<|A2rzyT@(QH#_%C;z0Db2$RfaZwW&S?%xG@BKg{H+d}8NyKM z+TvqMJEu7$(JWSIHclj?R;VEPJ~?QzS>4=C9g!6xXuE0#Y*@#lECgTJU0`0IBo<;KI> zF3}hY&5ivIcivwHG|L(t?T4T}ZbzfT?9+FgLvvy`~}*+agSrL{CXAmQ@l4q+V@&>Dvv94&GP3;B3<2a)!Dm8#Z$v0 zR|8Q)lRD~nVBHeYC50$_#NlWk@MzH^4o81q4(%sf9ry;?-gV58peNQqd-$@FAnwk^ z>sDN+6?fWHI1S5N0W|ZjD(-NaCA}8S35BNPx?+{md;v6Z!9H~<II(YYZB3B zg($aNu}i~!sv7(;)+mXl?WNEj2glVb9Z4??*#HVNY8^y>hxRx)TwYPQjdfXXmdAQh zpGf}jFYRtJbE#(lea?e>xo2yD7LKTqmm!{)^6{4TS|uDf2Hvsh9R3;b1ok`Jn?ljazvny`XIA7if7vKbT#R5-o#RSWvWr|0O50dtc>WFw~6YS*aG3sz9_fZjl zq)CJ3cXDSXs>KQwJviN_Lf!fb=(Kgs%C?B-Y1u@}s}h9@4nTK=x33m>8r8is<^S$x zxLeC5d0Y7X5q3`rG;7^$j!}`c1+oN3i!1!xpVfZLAENjJ2Z<|qYPSW5wCa;e|L|-d zmv{_?=io_)KdZLVu;5oPZ4+E-7~0P|+q0lO4i4y6dYSrjnkuw(C`83L^2ibuWvdO3o)@)9R=FkgpDmMA( zfOTO68|Snj&Vo9cCB3C{uE5L{ApK+YR)TmX%@}{k5+eHDIePY(q3rK zzv}4s&9r@8r*aEH@el5U_CQqGweYy6OtaiMq__hoS(6V>sJ+q)JaKS>wNgGjuGJDz zlR^~kb=2+N2AEsv3D)o{jPl${ef`dUxJHk$cDYsz*R;Z|WYd1N-V+CA$5=tTbsM<*s6gZ8V}l_IBhKcejwd5(GP2(-t+@zP4xcye~+S;@K5UtSOMPB_Z2 z>^ShiLDNbxaGvuL&n1PY!0G?Lqrd~FO)L4K0i2>2;Jj(aupV;)B0I3sv7!2uwy#n> zWqpB_ zr}c_o^u%bJ3hj#eZtxAxiB@WibHyaCwF(zKG1`S|{srKIbE1`p%G5~zi*Nx>igxU1 zK7hGT>M=$8=kM1%a|OLYrW2<>rjsUgO|tYuNFnj(~qqeK5IT9YZ7_CVu$>!2fV8*FmAjGfCC2KH5&SJ?e}e?3Z_HEsQ9Q;NR57XatYhCjN>X{JO)Ijj!AtUz zH8|^-jQU^oW5wR9kO?^En7sbJb%FQJ1&L?^Bf^K~^t5ANl46?{z}{;R|BA?PwV@x=pc#&1wKdPC&2R*()XbxaNuIzvztqJU|5D4D^-6Z&BvZ#b zu6Os>?un;omHKqU_bd7;t2GNPmzdTvCVWViT`83Zjj0Q0w9+bD>x&7{I%|XDJ@;DL zUQjJfdz9mWL~wR~eQ=;FJ4x1AF1&s(wV5WHhe^6UjOZH1< z9{!P*n#s)UF0&%Y7N!D6@Mp!Tsh}xQQz28LroyH~zl{_zCHm7tQB$J7<`Od{dIF~( z4!L}JaO;3uyuKv(7XXn@&k$r0uWc3fbm(h<~`M{fgP7CYMuX?u&a9lkRKPmOmeJdd$HXKv&HLZa6%;+bh}zT?I~L7(6D(-qy?_6B?E8gy^oQD2vEwN|JLAB~hArFxizB zNwGo_JYXkzZ8#(r4p%g~=gD_TJD^#+c)+#|cv%mC@-y(cMhio;0U<&aqA6 zn5j6j9De57_QktFBY>sjux(oW7+T}t6hp_iQrhVSIJeL-s(bDlPLI1%QwQ=8o#FQN+ zS>G`Gin_UrHrFZ5ybFzLD{bfd$@dHO*^VwOx(`^Y+MI<@E3uqqEI488Qqa_u_wT3i zpH8JAa()dyuaH z>3`yu?sy0&%cIg$il4LE4b@nb5kVQ~QZi&pEJG+sIf+dot-s;s=%UGY%bhjkv6ry%;23$*Y}<@+J7Z) zfu4F8h6J4ECncIcrJ20ZihrAMHHZiK(HO-pf*#}N8%Bmqj$_{|#I)Y~2&5Gb0e$ij zrRKAtIi8-)=Nsfux$p?=^dsg`e01{|H6@yjm?_b0`0=1U{__H+L^t$7Q=%LC5K2x(rU&`0z1a6^47A|A|uQrTo>T1H^)wI__v`%;ixy|!pn?kX4oP!LlX5e_ZD$fTYl%&MaQ{$l2<>5&a zvl3|Uk0G89{A+?s(xwN+Iq#Er?R883OhSLIEB>G)G4{+S?Jrri_a7{@rfpUhZ^ZH0 zGlt6CIXp=XsG0|m!(+9t(W1dSf$x6@`Z;u2Uf_5~2e(_ySL5V+F*v%7>>tA>ecW0O z{H~UIXH2q$Qw%+^4ZeOQPcfXnN1~50dfaq{uBgvIXwtjBOSc8^jTHN~?!_nI0-Va` zxKIoCdU3I@8p-o-XhTW&6WTC2>hJs~L|uB-$bAuq3bj)FpU}0DKDi^JC`lbb4^MO6 z!Sb%%S!ZSKgy@f;d7v4s>JUm2r#mKlh>Ia9vfC=^0zy$oA4EB7$l-Me2N_2pR zQGzgWfYPJGe1jbmx9hxpel&C}9Qj2CJ*iaMOHV>Hv)UbRbZv(AI5>BUw5#|BpMrKc zPD}alg=cYJy%m3$#g9{&f0pA5%e|Bb&csp*jq^<3WAW4~JgpN*?c03Fns=>Fga5e` zm3F>ssgY=EMCn8($@z|&5?fMHVgp+|fLlbeeAXO6yMLDt4A%R}_+MgyiAT~mBzxv7Qw<*z13Y!ug6cJOR4>F@D zfh)Kg*UTrqKg5pW&(`>0-76U`RB{Z*IoWz^^^d@LIK9c%JnYAirYkdz^Yi2$8UJH= zY~;@obtYwHdjVPXV>Qt|zz_#VEg8PwV_Im5jr$1@$MTHvi)G?nv^6kCc`=*U%bJLl z#K}r5Wrk5QUnVK~DHI+(mB@FOQg}Qmxd$ww@r(#ZFdRdq{6Y?O^ZlOz7d?5%cZcJl z*CDtT2S)_iB76Gh&JqmU z!GL1|_{kVxZ&*MY#^BIlccN=(b8fM{`OX)>Dm|OUmo9w{#S?R>#R{r{1%(v_r?ilU zar{;nC-Y9qM2|o5r8$_^v|3C%mGr>DCuH0(>(`rTv>X2mT&-S0UVC3Hk^BA|+OwD1 z#%wNaty*Re>?&v@7o+AXH_ z3KJa6L7sX7vO9S#|7Vu zZ~;!#a9mhUFTfcZ$^{~N^CVSCAJ!v z5?c*Wf+-jWV{M7D<)^*#(93W?TeC*a56|XB$(MP|cid3Vtswix@v_3g9q?T%)ZAE6HZRHF!p_<$Co=E1aoHhr$sP%1?yx&nfpx z_$i`8{dgt>yPXP{67A5SDbWrMnG)^Luqn~U8WEJF?xkl`_>%d4nEdoLZ3w+Z!RHE7 zUxSYB{Z!d0@t*$lloixh9{JAfaJ4i`(|o=2I%H=02C0=(Ozf~It|}C#WiX`}Jr;Ts zPMNTFLi|o=n?!NhBknxS^Cjp`$iL8-R*d4Sw0Rzj%`#*{&{95a@q>|tS}QYPkx{thfRrgZUiN1Q$&xIfM1}H z9}4hvOgLkapTrpO@CP0PNNTDY?DtV`g8%ikO26~RdS6N=j-vmItsG-w z=-Cjkpvw2$v?GW7FHOv5^4R}cqlC8q9opmRVGh1@Wn086rT45AS2L>=oL1^89X}cK z>~FzDaai$v1k3&5PK%~VDL6QI!M5QT{yREBZ-ZMo>8sMz({Ozv+U}r#=q;d$sqLI*QkO-ujnQD8m+x@Ud_`%x)pkx( zCedtGyeY49(CqsI&~!T6zxy_{m+f@mOK5xNPUVJ^CNt?Bir=NQb9apMR#fX1cREx! zrDx zLg69(YbVEa22X@3T9s!AL`MKtx#u)nEq zR=lKK7oa^3e#&3EzogAC{~y5X4m)lnH$!_I{35^dn4Ky-@E6K+#Cai)UVvZScW7Lt z7vOjH9T&#^6)wOp>pL#&q!-|q^&J;ReGC`ixAYwsX44Ds>-mlgp1;8b_`Q6`g+I^> z@C*5l3;XE>_)UD0o}%8GJ@I$A0KbCoxKKhb!0+BWE+qZ~F2FC{J1%_m4{hlGiQ_ub~0U55Nq8>yAIDjQeYZBzdV{XFxiZJT?6wr)8p zZRS`_z>f#S!Ozt@-gw^(7qp^w2l)g41_k&fdD1>mxmnAj?eGKfjwioY;eu9m%rOU# z%Y^pr&i0+O9e#4y{^)a57PKF8w$J|mX#4W`nvbvl=YF;uVqYVOsC}v0s%R}ys#Gm) zby0guTd5_jYN>KV5E>*zP7rYs1W5$p20;*n1W5!zLJ))mK~xa@-ZS&Lx%Y-X&-eFx zJ-@$_d(L}i&YU@O=FIwG^n%yv25-<`zE1y%(fzK!mVYXvueeV4y&VIbPP0JIcpbln z(Qi7^`*Kfouh`}y+KJFMr{qy~KGu}{;$w~VQFXLmNgdW^+0CcBJ(@!OGcC zGG1(VI3@HoFLc8TTcIsQq3PC)xm(RC`Naw?EcwNZ&d2(AIz+GrX!kGDH)2LqB7(Sq zci66^#`g1v@EfmLi}M@^j91tl*3U&YyI9lEg)c;zdbz%}jb zotsOBd5%W&$vhZG+HJeeCi3{(B$ccwS7CWrwHh(a@ji{xvX`h3t2+FZ8hSDi>=L=)NKOd8mTy=srS{5j2CZ7Ky<^f)=& zWcs5w|IRRu498V~rQnbNFLhFoWMU2aFi7erp~1ToN=?WU%u@s=hy#Pcgzba|gK6T- zJoYMC^&zLdI6`Nh(2rBufNQ>Jkt zQX|R#4h4irW;gb=0FPRg;+#AxI(Lx%s~nCGL&V^UkEs6|SPUB0bB$=&Rcp|&@p!hevg}3A)=H65#97+34te?K>Ma%~ zeW0;#GGOC<1q=VUdd88tgJPsI>rn}0_uhJ*o#m(X(k6q(J^_J&d23nKg<5ZvR4M;5 z4cG+JSi4bjw{jOBkz9@Z<6nVzw|m$@qvRq=tyuuMzO zD5)%`4%{jYY{uA(6_9hLFMrPSJEa*HF49>Io zw=(z#+o|GtxwIv+h2b_Qgs?W!P6qJgVw)xJoH!C^geqo$l|JE|mPZvPU{r@&lZqR4Y#Uon?-W z!Li?xv`=ctTE6K%(egv~Nh=j8BDEyD!3Zlgg~l9`sz|sC`mN2}xNRaBwaXDB`Eh!!kT>igO0!+ zU^dZ5U=RG~B+C9$tw||uwQ6*CtWtq09s@FQE0B|D)w|j|wDTCO#9!nrtb~Rwlgm=; zZ`F#Hk%^MGL1Q13@u)xp9>UD?lZE+voq)qaJku%(-VE`MDGBw6)|nNO!2~fW8B7F8 zbx%t54Ji~5htm-w6O}J%%SmYkWAr`+0HUkqr;ys}>YAKJY7lcygNcx=LkgH-$A!yE zNRhl5v3Dw56XLkg&tx!lC)pqkDM8FmgBN8V{DIWj&mnd836VPfjC6@bg{#t$HKNA* z=}h}aMV0881(FU0N7`X0JyILkPssPJ2L=4 z@alDed2t4)M%{Bzen>V0=)QB%MHr#~HJW)5TG9Px)l1B8rd*{qnQ%?M*O=d&PggV9 zxw5PDEK_nV!#?2T;mR!3J6o!5Np2y!*Ql()0AvTheBGlH8{@1V>IbH#7gEm@T8a5r4JbKgB%crVsx*Wl7m{H@4U?Sv)fLoYOfD?(o8v8OA&Njw(gDC{gVW`ctB3E+t5DeS6lWsTeu&;h` zb?Rg2DTgtXYd8F|(C*0FkP9;>UAq=&*V7;e;x65w0(e&6JgJWa?7#wa&4I(UiqtP3 z(xD0Q~! zUoXOROsYiFe^9DXrQUK?T6iC6;`KD~nHX@Y7D(?)Az2p4@YEn($)rynGE30=S2;@+NJ{gip-bKjXCslO0icb;tfOz*Q>*|@*?HQQX4tw$$vIcR%b1;i9QRZ_n zt(|pTF;ar~@e45XFVTt@U|KtC)0apI;*FPJhF_xhUV)i&NtAiy6;g&@TDDFeh*u|< zJ%(MFb=GWyj413Pjgr6%yGT1EFf%WT{_7#jdl>PB3})a(8lZq_?fqE_m>@1!!SuOE zc`BIJo07Wc}6gEj|g$9JIR+A@u8DEP-CAw#G?Mes_+}Q4Pxt@d*Qco&E z5)@|j0Hc_l@2Dj6aQH?gnMF+&L``l6wgmN{3Zy9Fwe+N!Rpk#DabHysMb-6=Kd2gn zMm{sE@q8@Xs>$g1=g!g48h|3e@z|$&(w!QzyLHX$;B482%)iO16mo!Z$FcaPEUl|4 zPm}!4Q9T!y?wn9bq>J3rx{g-K9cs6#WnwM)qQ*W3ils;9^QiS-n#zJjuen-VGP}xO zN$DHtsjJ*T_Bm&ZKZa2E+e#Sv^0noB7Bce018d7&XtA4Cm;8TFD$}I8 za#cC;tSyhhgaAdM{RL84%Zs}5AOl-I99R!hr{ft776)Ih2c3ZE(2!<#NUSeHa)~RM zWYy-0%Dja}+OwIOza=+d8F7yUpHhL2y(NUrc}oaeqk-(;ks1hRbfuhH)#i0l{N%bc z@NI;WNweju(6l9cw%po4!Hwlh&benw4J?d+_1)4tL06i{E}G8s$bgbf?oe{UR;u4k z8Ao%Q$}OzicjmE1yUH0sn))tHZH7|gPcXs+s#HN$>2yEUnaVYnH%VC3wKSLO%RZ?v z`~`^Zd$mB%1C?5!xw6l2>O^YUQtm6I9HYt;RWG{TQvOs5ze9s2sFTRuPwvS~3!KRm z@1j;^L6S3>TA@?O}r4Wfx1QcVtA*kJ6}O8%Ua*CVKa(Hu4wN1?+=? zFw2Tqz+r>;MIKly@m9km)I&lPySh${=Cg{?m>S z64$j5;#a5mu4*+}-ccUHIFCERJmPeYX}PQ$^pZ}(Mz(emz3^%$xe~mO4R@Lgw?dBP zGOU2oG287-TUW|)^r(w`f$ck->I(m0H&l0JL~}PNHUBilbpz8nLNDwtyYkO6d(^Rw z&^zo#X!b2J;ls#P(Di zx{=$5@-thn@#!h|VNhFd>D^0c;`?5rw*>Wa>@D`=cLNN_lD{prK7L=@D8EH#7%$^w zNzR;Sq~RaS9c{ggkL^|Z$ektsNP*-d`hh<3`;wWb{mU}7ue`{hvCnUpj>D;+yqE1+ zeeo&GAA4F3ad`XwV1oFdKbY7Ea|p9j2Y?CUqJdyWBvJN2Xe@A_FzN||Fie8j?=vv5 z6Q_sS77SO74UBO6bBtx|Bj%+;?BidslqYE8V5G!W;M2j#HcXGd=Y0t#h-1EDWgaKX zS77Gop?$BfS<2(o;2UJkKFE%1QVwy3JVf?pyODE;$o(YjR;CYOsT0N6J^EWz2gG_q zku`Qcy@rArt}|Z^1rx-$VPHldqYA^p%spmlGhFU%V1#KSz`(X^@(7knkN1a+L@E$# zj)Fk!v-S`u^aTPRYY_5e6h?h);_euY)Y$t~zeDP1OeffS&(iN?6C+mtUhbzA9O0=Q zW(yC#M{4XOM~*>i>@>d`!-UdfhJ|AhGk`em2QdAQP}~n-nh)E>3w?jYu!fy$wxnRc z1XJ!O7Br+KQ1fx5nMd^MYy$QzaqG>owz1 z`fBt$TB7hEH1HOk_LS?E(%Dr_KP2(S7^4#nnku_V{(JFRHVg*&2W>&Z5X$~hD@zrp z!FwV)YB5b$$h3bCG;;q$pb>4Chh3mS2sCEUqv`S)cH|@RFVr9*Uew^r8PE}kZ*1|} zGv)KLe^@$2%UW%6j5xeaay@78#B!w6olgISu`3=?3Cr8+Q7ck+Z&8P#-l7hRyd6F2 ze;$80OU~H`9Ia2vK0u*WL@3KPPle@0+!2-srq^85)f#fVoGZ6rDO${f<^2cYhL118 zjl{L|&U}pEf&27uW8ZxAOHeB=K%-?G`2P@Y5W8{*#u-)kR>Q zvR}BF>i!5n1c2Tcei-SMzr0!U*-u*nP=iF&fX5)6mY~f+{b31ekh1@OIR=?(pb0_p zUy;Pt5{d+HU zSq;;%3rYGjVskT?7xvIcW-w!Sh-HYHYY?hj*u%2473XJH91h(LSOfhO?iM{UYYp>O zyWiXs*RGYHFb_KFEIL{AIysajTXR%yN^ftFdy8pFVwlj|jWE&EYOWXF!kXqwngpyA zZ=aB_IJDg;zbpBbA{*(-M!BPu5o=cr&_-Gu4l*34E!*w}tZRI@32{uA4gE3Q--KD8 z$wukHW@;5I>o?2m4N{ne`bD7jnc-rJcQFDU7^fv!+g*LB;Uv^DyV>2+NH|{{y{s68 zaVdnn*2pzz;32GsWcJoRq*YOJQ|;U?q;;j}BF#mtkQEboKT(=8NDsa_L*H(d197aA z9&ANBq2LE$+EUsQEmvX01JQCF=d>vP4f(PjnQQn8whRKLG{>5bemX-qm?t-cgGq~` zQQJ@nth-q!j-BZKHaK-~DsD&birHmz>fh3Z-U#KlZkIolO?GtdsEw8}JLGo^cuwJy z2>GoNwKUubwZ!cF7qw*Vgj#T{)lP_}6t+tq#fU}=%8$U4^?dcb(m!e-^(C!>kuS7E zIgq$1ePMz1#IKMQ8Wt;mA{XJDtypY-tPbW%v((-#k1$BdF*JP-Y8rT*+B8s`Qhb5( zA(h=LPvV@t@Q90J=+s^y5@IY5_R5V6jNq~l;nSrZykYFt=n~!%G+V#$w(A#9wgX?A z`kIlMwKMfRfF6c}#3R{X95kMO0Ctc_m0qarDEpk$iOR;K^MKJY9;wo|vtUUN0+Qop ze|D02_(5=DwvqQic^UidatObR_-`E+gO?F&i-PEaKQF!I0IjblwZb`Ro`t!8&E$FQB|^p`wl0 zUW;8H6FCMQRGg{Padf|&tt^jmmaOA)q(O??N`6VGP1rNhmt2z(ErYl!8PT%;R?16e zRo^N`jj1OQoPzlLB$(Mz)aMkKzB=>#DKJ6&<}{15qiEx4Ff*b=%6C)11ksWL=By}E zQ^5?^nM+f_1hIM=V&3FP-h^J;R9MVK^7vNqq*vS2ku>-W+7HL>z1ZKg5w!3OvPg(z z%~hQ$J;I((?R3F;FC83Ai>w^cNhm2@_F^Bf?RpmMu*=K|E!A)(fF1oe98qd%OmTRS z8T4_KU0ycQf^+gT=LB->*BT>WExh!S#ia8XnG%Q=oX2<>jhhCdGqBAlmkhbNHJQ1g zR$F8HRak}L_oLA=NQo&K?W*UAO zrt09kZsB7R*df&zT3`JtS?}WuGXt(5K1b3?SJ+Uuk;Ug}Y;_AvFUri853su*tFA)- z>GqPhxF$DeoUg8dW35vqH>DfpU1I}V5!Jdb#`90E%fSf4H*p*Bh*9dZ4Ncg{Vp@4a zc5NmYHs2uLx2V%WDrRkKLNfgW>Ev-nsV;-?+BKJMH_?oyP4vx8xjyZ`D%T`aAM8Ed zx(Pu*u6_$~hkrP28w$JZep&sL39u$e)BV7hT=|7_P7t#gv1ZE3(HH?1V?WPfM-@)p zMo~FIlzSW1E()iEA0y8xcLdS@j@+Q6{O{=PJmEL`<_W(sJrDC6AqQVjFJC{?wVpuM%rMplZE3<2`8#Kk0O2#{CohZPS;BN~ zsJrURS{(v6rGfR;KGf)`eBU`SpXoKcJRASf>NTYNvknjCvC>S>P+DR>t$2pg0@s&# zsH$}T2LvNcpUW;hAHKzea^0VxTqc#i4cLVZB4x2V2{L*`@pEAet%_j`Ogsi-{l@b5 z3)$V^?32YrvTdqsi~vKlKTRvzIxgg_>LM$aJ_h9jgNCbUY#?@+C|8%2DH2}TTqrC3^vi9I%^IL6 zu99gTMJh@i=eV`30ZY_bU5Z=la4$f}eK1qV4dwt9-k^9*iLN?PmZ~&o$;xO-0|^(E ztXjlkKX1Kp^v+n#NS_*&T<1^-Y+szl2yeLax5i0vkwWj&UMG}>i;LDVMsLABWd!@X zGAPncm@Z;cZYYZyqT%Y6RruD&fU*jV+SV7@5gaLI zwZqI?RA!^73{d{jkV3KEzqqQ>0*QiZD(0M2&DitRmF7OGOI zh+rIHZMOjn2ApZ4t5Qdg2*wd#;C<<;yf0^lifkY~o^z#A(+!RCu5vyo?J=CaRl~^4w>#p=?#3AlzD}P*dwfargRtA4sg*J4I z10LgiH;V%p7;WXS^Uvde`Sq20lK(2&Tp#85t#FJ3YBW#|NVtG|z5!(RU1cZpn1<-E z-~=?Zs|}9>8Z|;~&~ZL*#5;~Hj1kou2DOz?Vhpg({OAv$3O~< z`1Ny@>J-^VnasRhgSJWoIdM5(x2i_N+A7O2lk%7f6_jtMtdf%Nm%sdgAZ6{6ekLtnwc zc2V-750om+aE<=IJZjp^=@eI0P2HRl!L^zbKVirS9yJ{zDmM5J@1nTK;5qJ-^ZufC zMSn>oYTOm&=PtDN7YmrJ4bqua^m%vGFJ7+!KTCPFJNgPZ$!+d|+ER)IUJ)GlpH(+Xmq1YpWy+L8OD~fm3z_Ct#{K2(0 zFCT=_YwVZ5nT2M3p}1%a_(rGhGnhH`rL=x9b1ME;BNdao%3mo58V0UFAW{t>vwk{? z$!I%aoX<}fQ=49J7yLZm$gg3-cz(n0YiP=<3vR;h-v|@#|BWzV(>Kh73j-jTgS+2^ zH$5o-TUc*Ac-HC(pU%LJK3&)pdy$tN^NkOnMMGH=1u*L+_Pg&e*i?%DzfJB|42R){ z1vnUPbr}Q)S1wA~qn8pEKy^o=l-K~_&$o<(KL_#1NaoLZ(VhhJtw7(660zaTQNn(= zje`9OVRZYg@Ez<|N?A;UzZWe~%B}Yv!`%8})Z1>$?tqrDhgxXVPmsi6ndb9xs0KI_+27Db^!GR*G8TzYgKxwS^~4B`w_hAw zYMh;zcrJ$VU`GEX&7?M675&^I9Al{(&;1!$>F|q3NQizg@@*a>QWW`oZq zI!=To;f0*kiHP>{FY|+V)o8$E%$G(?LN;K{oP?Io_G8;z)fwkos^*0NEZRmH!VdEp z>7tj?Q8K+oUhs?3Q7$H1*5A@SXSE6Wx5H`OiN9jP9&*5*i6blBoVY6VgMnNg9B=r$ zLe-x;Ko&*2IeVWh%=9#(}dB_ zne28!Y{HudeS9<>bxX9%qX?g+RSx1=C2BbXWfsgAV(ys% zF^lFyOxHJv`PWQ{i5HsMnC!$nV1k$+UNJ$;g86o022GPI(qG;}%H`fd$|Uc9m-6e` zkTT9Liz52dM>*`A>CgOzZ7wcl`a3-7B<#>HMc5%j}#gw>L!V96BY2j>NgNG!Uiw z&lN*O{beW+!~x4NC&;Gy^Z$oA!RjCkB);4rY^#Ja2o5*GM44f{%xVp}LD+`YM4#7& z*7&(%h=~ry5aa7lCxT%jRuM5}s6an17k2EkT-foh<;oqaylmcJsk)LyNXb5EDYuf% zOz#jS;VnV4g}@PZ{yLF8n&-k=7EY6Qd|Z55qjaQ#RV=JshcD@(TP66|x>X&)H-{=? z`SNfs7TtIXOnoa-tKK*~IP4D2EpJ?n&J_H5!tVNsXCpN_CRd@Ee=1d}?raRABm8iN z06`a=kDeo+HDjvYP0pTeCx8XTC-T!pM#=}^hxXXpoBt9<&fB20EF&OjGurQ@y4tTGV1b|m+*-el2qJwJ)1{3} zgjse$GtFl)^jgM#5v{2Ovz{&n{#u~hX2o49G}-ltEt}Do@sJ?%9QJ2>#&1Di z<}VNWMJNrWd~aJAN#92*RXA&Eq*B{C9ElyeGzboRm>4yJqA-nNbat@Aaru8^6c(Kr z>v$B(@qZ;&Z}@S<5nGkfB|8D_qtU7HLgM3S)Z6TBSB2Tz(5b=Mx(ys_<#?z5aJ%T# zUfV^dUbh{cnm@nTH3o%Qd-bCj#g$Vl?L>V7O}6^5s1V3K!@3XLp%_4{Ru!dog!$Xatz$j6m zgwKg}-;J93p&8lY|Eb;Rx*+E6M%P90C`jKooU?~dZfNZu(RI`JAUcF7eCvT-AGEZI zV|`aVU@5#T-}FF-Og(_Cwf%})8U1-nR4_G4scGZ@G!p=mXzzYyBx@n|BWSVu4#gcn zl#Fo)g#B!&35ZwN{UCuZxi6M|5UAXjj9U8WD?5wQ)UxN0vd`e`&(&fZ{xn9w$t(L^ zG@+Zk6T->j%WN+apd0MSVMn1U&3uS$vGv2$JrQ&cS(azVBAQ4p>Ib1 zjZ!8iVOd}q%c#1|Cx&pJSlznY1g>3Qs$qCR2a`%4HwqAy)l59AHw=#3li@;5FX&7% z69FImW9Oe=?@`@Op-1Iz4>}En73pTSSO;h#g#G zgIdaU=)F=V8iR2%AwC*|6EVQ>L5%&tEgicvX{@twi0w%HiX^#$kq6`0a0ib^YL(b& z@sBCks8z6xxT177NTxNkCL67W!y!C_R#%x7tf2u{Q813AiCoz+Z-oBuFN??WGuEd$ z)jEPUrZd;rEVsxG{PlJ0z?hy{0Bj=RabbFT1BIBMvcoQ(H18(j=J2O50=GBB&3$hn zZjLq6%UehyM)~>dcSJ5kLKcYFm$EgMVm1hGK@m@!Z2w*sUrcv7Q+lS^>fqmrQfqq<@ti~Gs3VIi| zuBwU3UJb?YU9URI1hHFnR2Kd*V70oRX>yQR-Oe`V@Oz@Lxc)WOk9aV%THNNrRi(9R z6XvOb@uCr}K(m!5rJ42b6pMk{HidnI z_<2*bR!o6oU^IX_HG|#4F9YjE2b!sfj?R*)xr(FAxJ1Zr;FfQJ96)W=0)|?2U#DXH zG_pHijVW_|DF^fu?=9w*Y7@EWm=3oN?Fi296E3=4vV7J`ZDqhmE@;*}a3@7nxs`_3 z{R7n*^g(O2W;64BG-PF4(D;%+3SC1F8Vm2)G{Qry!h4ij%@$(uRr=Pdiv;?U)=)?O zJuyd_(?)H{h!3i3ya&8KMTx$p9;AKkR1azUy`c6YY32IHwlp$S>PQRQ!&1;>yW5K< z%WKb?Y%5t2-RR>Esu>>up*tOrw)n1y8UA<=$v~VjjWvzF68L^cHOBeSU0Y4KOFo1b zRyv8swFQfN4|403fp(lv@|QQH&T75#EF=DGiPRk@Vjgr*^`q<%frGlUn~H}z3yZtb zS%_cxeTdH{Gwdaf2_LAD6253sw+l=KLSp%697T0eJ2Zcq?6)t zWWag>)dBaO-M!Bm-C?`M`HmUkYH7*c&vo6^p*ZT5Plg^S(v&Y+>B}DK7)IR3h;cSz zM{$^O(1+?p315eKyC-X^ZRFvM>#76u5JTSm2uES}_Jj)s`%+JIWMt{Ueoq`;{iB!A z^0Hn+%g1`De6`jAI}N=!Ro2>-t;1Os_Y9(jL)hW;NfX~f{8J2dB0Xu}6dSOi?PKdc$VZvB~x zbZb7>Url5BVrSNay5Vl_z^~MfRBfQzhoy6*a$7tSRvT-BzHP**$y8ar~NS=dnP7x!%Ba5$$4L^!C z;$}xByb;hD`v9M&#KiK z27eAtmti6Z;6$$Gh&;6!o!pLDCr zK-lD5VG*B=ghhZjVZ?ACaW+CI)78nO4lc=T+|w=+!^L$O~_)4CP@k&Q zq=M!$_L;UwDrL3T`m@WxxJ133-$0(A2U?aMlTQ0!|NZ?fM3+HOgv`5 zRN;43zvI^WS0P2eU%3>P8NaIRwvT?*1-Ne^eh;QFTS9&dKkhftMKAm&#CM)z>!K|G zRg#}A&0xY&`Kp%Yf2i0+h|ZyesnBRR9^YXuDKYr|CM#jgmoOhPJK*jeM2;j>W?-&XHr{e$FFlI`Ud0h zhUPP`QU6(}N;;mNnFZs(2l3u)N~>qXII^$m#^F=iIOf1OkcZVcuwXq`X!ozVx^c|? z-!YB_%sBk6l{98mD(9ze!UhIvT!ShEz$|#{zOzu2-*2IA77HEBA^>KwSnVM+WuGo? zv2GQMb*u2Vw~7E*1p*>rNyvlhF2Se1Uo84MNUg#?yZCdE>Z&FC!W)+Tx1EL>guoA9 zZtO{D%IIts)$?Q2`WC|_Qtz?PKqdtvd!Ou**ry)-xg2GHvv@hmh`0jb>X6qYjopng zD9X?Dimh|{tN;ifmkeHkNr?|W!sy_q83EIn(u1`7N(|CEk3CyvKN#rixQQ##3w-8K z?n?OTuq$Hu1gk6kX?BR(Q!0!S6s-C75L)fLN}YwX4?eU%6!pZwg4x-8P5&&W$1v4Z zEN1_IocrwxQ+;uGGK&_hhXk2fV&3PnLB;j+Ec$1IiiN36`gkLlVLJ2NM%9}UzX@0S zN%5JqF&xYS99b^82KDYH)r)b$HzB!iCOz8(L~JH&MNjhGtgc|hhFic)xkOX8fN9DU z1uGGlPl7l%Ld9XX^=c)$7J-hKcuBBFN2;@_aD!Tz{)tq(NZEK~CJLzoFNv%VN2$vh zarjnb9dVJOw}P30>o)Akl&;b0B}S~h4b0pNG=3Xw$L^5FG)DY$JA5xb`byi8GW$Y_ z-F2cyI|RpL2i%eEqE$z6+WPDc^?9R$4Bi(?4hn@j>}K*COjzaN4tC^DI9Pm&)^8`u z3A@0~8hDZWE_J7b`)22NL9$$Y_d<{8>(M+53>KV~76^eiWGogSa2dyj_#qYuaQ=)1 z0-w*NSY%vqo;8XmHQLSQR!^zhZV({?h~n@Ko!O0kh7r%OM>v&sd(^+N5OI#;_W~M> zuf8(X^^ZfVfS3}87TRL4SCbrtgPXX3$HnH%&MIall!?{$`$~ z4F`ZICLK`^eQ_oh%G~gkVVr2Rd68s0FM;o`dQ&cx*t{*ns899D1kHcAo9&m zr~$CkaMRnG zK%sXMSe9p~djiYy3=K`dR5*tY9#n(L^$2*NwK&2AN~7LKz{A}I##4`~72WdF97{WD zS6WOOwLQwjOS3Dj;HX+bib)GPh79p^Nu6T=MA!o^9s>ZJI}8z%N?IZi`Kcm{_(Ziz z-I!FzG7sC8Sxjw?;-MGmIJy^}>Nv8+_p$dMN47b$>EdxTb|Fr1lyvVOPY90B z32+i^9DVWGB?$v7KGdC`#7rnfn9#IjI1LbAB*Rqj(eF=Af|+|-FfW`06U3pXz{Hor zH=hDCPG@#J4JL?tPlJiiilRLG%#az=I3X?1o7+{Fmq2*gLE+cbmq2nFhOj07R>0A;rY8)fd&K)=$ZoUWc{e_@RBHW!NRPvcb71$u=M5 z>o9l^#s2H=VmfkqyO@rES(wSBPZEnUv$7yFh|yVMCi2F`n5wjTI3{x=tDpiib7eE_ zxq`JB#Qra?AgjbgHUjDo`Yg!C%m$n-*(|>#I}U$1uFq9i8C=ttt0HcptB6~mNm~Zi zSnGTkER?L%p9THz2(aik^P+jbDZ|kG(b#1JaB3|6s3r)6{^G@;dzCH zilD${A7P~wWwI6PW!JI9ilgHOjjva?HE4JAlJ)iK#gc}%>587vR#`(7#aGWfsf(hy zG2$=synkMOlrXqu@VYl+}8O^{}7C-1iTS&3%tp4CS=X4OH7nOOoTCoFVtA zILu?sc1jt|O#_wL)+j~85vu<*>-Q<&85dB@JbyPyO2OTz3QS;rk3&BZ6;%OQf^)ip z)<8_ou~x^9YjH1u$$3-C4#k10)fMsbHNW1W^ZB!3pI1Tw?9P>b!s>m;vxaTSV$_<` zCzZ7u+Oh=RJ#dJzu3a41iQ=nhkfa`D$;lN>sMRL*d8*uTIo%06s-RjUm+(F@-4_DV(GU9)`QvRBAeqK}i(7E7{ ztzhqiS`EV?8tGzNoEPQhM2d0?4pD*&%FR6_%KfYs$_3F>>%Uo{f34gFwKWeZ>=2!- zjjZr=5EitnQ;54Zn1DC94PreD(7xpPJR6TUHXe!NTY)yR z^|+j?*nd6XhSo+6YyiH**{>e&FZ5GA(P38BLx+L4VX%#mPGYufXo#~@Wg2K7OCg8Y z55AMEQDOt_J%$B^A1gZ8P>T`UB%ZXw4WT16}g;I*ly+IBtDNz|yBs1?$&^{d**tO;v=TRv-=i+uJs7xEW0*Z7EuY`kbd zOYKv(cfgX{*0Q)n=BAKH$Ucb6EOtD$6G*Y!5f4vRA4>#OPbmdje=_EgrTg;jj2Lcs0jt>)NkogC#@$7J4#@i zJ8NB7wRD2M+%oEYq0AZYi$>Z0K5G_B`#;ncJIC+0>%;6aYW#kh z*b_Ct&05qk!I6VZq)j+Z43ELgT3w?z0wS4H4|$p2_-H3zYD3)31G)57<{ytxjax%qpa#15i*# zoS1)qF%YGLIAI_PLg{=v*?EvKu%?4VZyhoS-bP3<&Jz8Z)(FQ?_WX-U@Jn4|zJTj3 z+Vcjxa38FZ6qrW42SbR0J*>9289^uN{iW8FvAw=zZ0v^Gv++E~CZ(*!=_{?AK?~9a zuvdQa*HC`Y9?Jb1%Fo$tvpY7UkU@A&`IEju^>cR9f^V4e@v2e~whpd*1FHt{qajTB z{Iv;A>?9(JOGD6^;R3B#eY-E{TkVDO^+&8hY-h|hMkv)FtkGW$MY-1>QLSMpH*8Sj&YH$v7=AXj>`1K_UiH~agGQAoQh)5> z$|#sHIKPaB35Um`8LK*)@U-s`5D12C*<&#e2E_*uG4ODV$!;k9oBxqXkCWLl`> z_e{4IVc18%hhc;G@OxCRXcr?o81{YV+?S<}Mu6b(X&hnDbHar|<0Nd@4=5nrA`H6i zk0=$yem^q(S(ridV{+P0LSY?$g2F_>dZ@W<91AslchOEyxE8!FWnClE&yQ{V8T#vh2u%uH;gvK3+A64LsPuq z^8Cr;0d7UO7fN4}@{4wgG17lQ{1+ZWjekY)88J-xx>3aZ3IsSllYuDOLFXnz73LVR z_TvAWR>iGo2NY1jrhs{N3pR;6DE>FdgMH}bDn2;D9wa~_g=S3ADoEfingYp!chKf3 zC^&wH-GWWW-?h1n6aPD`F=soejCV0U1w?iC~OACq6AL%vNWHm^))z$M3*iY zAAYban0bS2ljfo^;2X=F=grEDrf_eRS-@Yewv{=84d$3vdRZ#XX2peVbu11GeOsq% z{5b(zHIr}~i$&^TTPfQ|SR%3*N8{(9BoG(PVI}dotbXFF;5t|BF2@_LD%dq(xp3hzyMbQhua(JYs>))^eaE|_s zR=gESE`Bh+oYlhk()_flZnq+#8@s3ZiutF6#8%F!NRk(_jvFcx>|3Z+kw8pc2vK%M z(p|>%!z1Txb#>z+FhShA2+WX3I=={J8$ktOT43t~-X@ca!v)`vQpG;CD>fs74lYLG zKpOP6^}Nxa{>UAS#s0|MKZ3USqpCT0Ac}2Vb_#&!1940Mh$#_bUHxf*wv#>461@aO z-w3-N*>$OgOLh0L4pEM_`s1BEew!DWSvxI{v5fR`DTZ3CMYRm%{>?HxP{YR_i#9Ve zu#H1f(dIXt96!2F*a4U`f*?S_W;Uo119v8v09M2KN}3W3vku$BnEJCz3xc)hQo%i% zx4a~oCskje@y{-GUm;xWFDu|`<9VL?h1%0AwYqq0Ws^;~L0Op9ZF+-jvxRIL17n1m zX~t3E#7#^D&!A9LIb{>I3Wbcpn}m!-p^(u>FN}ZPXU1yCh*L;^njxc}l*+6TGPYkM zWE{H&G9nLNH9mA6UaQqMNa5j>y$*&WULGV04TC2GF)9pAo4b)tgn?=1&l0X@zk}B! zV&6@Ql z?gl#?pGp3`5m89CE&2OoF2wG`N8#FCEhe9vO;?npakB}gL2EHLJhTZW2wSmwBFW0Vlj688(Qep8r^!GTc+h!v`j=EHH)@OW{DOpb1hm3S8W@!9-gOeJzm?n^@Q1k zaS$$(BlP}PZJ+j>nnY{3$*>RX$%c3e2@k;gs&C?{*nR#k;1(y$lJ%LxhB%U4evwdQsd6Gp@i0FXnJ}n34tc zTho7cRY!N*eXZQ0fZSZe2<+<8vTo%t|3;{~M4J z#OXI6r7ws#V1@adsdwb$n^57ahjjdwU9zuog>bWSwN~u=h1+wrT%MebzkHA?e}@fH zkt?Wq9!nQ&H#%7Iw70d$6|guQFDYZhH-?v#aJ$j8e3X~GoPNKHbP3B#I2}p3hjhuy zk?yTGq&s#G=?a4>;Xcyk2eYHHIEz()@dU&f1*je}<3#?pMx6&3CNa`+>exTl z_?$As$~h_~o(oGZP~FF>hl_m4CGI2Bxg~PsE&X*Awk+rr#S+ zpkD+#pzHeW{#5%3K>*Q~XBg*wt)~JeY29f0bItXwaDtxf9w<6*7a1l7f#*V7pFvX& zDd!YJDTzU}hW(9OM*E6^FbA?l+;P?3vM3D+q*8wb%^_J(5IYShvmf&GF3!qn8vO zNOdJ+BLlv=_)OEMa_1#u6~@SukWuC=bS7-U6&KY>d(PTq?r5El%JR1lXnN zS_Wyr8DFM!8bev6DfXwAWx*-rR=14(j&(L-Pz@-t)ABU9oRQn9S2^SbOE8x+{^xdT zft_lGYG-28g^jX*tTxI{;L9M;RlJzOmO8B;Z|Ydd_%V|SGoz-oxsuV7S!m75LT4XW zHo`)o8Ea1}Pp7L03G=ELaa8&#)vRjFMNhJzw;V7uie8LnEpL0JAOv$5+XF!S<8Yaa z#nfzI4I|D5ET*&?#(q*UE=kvfa>8|HR825JZ0!Oj?nBRTG1fN}l6NIzGwN=@#g=ll z003iAEdX#+II|W2@pgc5t^k0M?+QTXLh4)_0AD*mVr>Ax=vxPXh=mkf2j!oui@3`-`LY`rt5J`)fY^7F%x?kVuV>P#fiZ#+yEieqP+zpQ2?V&#aXW(oyz@NNRZtS5&%zw)G{_#3wNn_*>BJ!UsZO0cr zn}8XtGkZ1#6GUEk*=9%{{)~QX21OQ7rTWI7>7Ql*facZ?(#16gV9QN%Z2*0h3>Wb?~2G^z*K zY43o&;3lh|mTpR?Egbyz9TgH^}}P-gBcU0b8OfM0Zj;=6#qvmN;TyP{U0@aC%4%{a7j+zRBU z+VnMt2ES{Z8Ppy5fF-nkv^(-CSVIjxpdj;HA@p_+WCh~L9?;&+8+5J*Yq2?k`Td7T zfAfYeNAsRYf8_@G_GGQ5Lu&LwdJqS$f#qcO0wUuEb^Zv5FddQj5fC79#G>9nq}`zW z-mDlOQOvZDfdG+Lr+XhD5^qpwA6ANwF1hQT9t@Vn!whm!boudz?kgBp2&=gw3~SccKn2~P z?5}~!_7+7=_y$FR$d%Q82$YpMC(Xfj!WiP6J3|_Bi)M%i5Zcw@J;E(cgtp^Tk#djzPG+xb--vjV0hxUJu zY!Y5su71zl(X$+U<(GM@Dr3Pm&!b6Wkv>?Ye>oQEL5uhS-snjVlq%bLSE0jb$Td`m z$1T3XU=jVJF~abK9yB%9qA5Qi-@+V9{|Ut=>wwYY04NlIrk()c>%Vh70SMCp)qaKs z@~yI6{F&wPoH~vNr09hxMwm8E}Z5)no0iU}oe5O$INcSctG_GW3&? zBlP>lZ!n|6%V-fhmmU1NlA`s*-KQXN;bpov1vY|X)!+Y)oPBlX!{5OK(fkLPyXH}i zsbFS57sZB6MYVR#J4^N2q2b0&gJ6hV5~l$Yt3$s06X`+ZEnjCk5D~9v+H@fNbcEAi zFrbK6Xw&kdqn!E+sDhVxeGI6~XQHS*Gk_|1X+xQ20)unsh-dSd@CEd>FAzCJ0&&w9RZJ+b z^`waTK!xT|*#$tw>!{!bkR=ob$z9LzzX4gALveot5~xG^`XQsGtd}?ojaFI+RPcS8 zun?%+CzgPP(3KW^9|*nE9$Ey%qJ!kVm=*Oz2o$v#B7+#e6n=2BKdfp#+vl{?*|XO@ zd7z$nWB?M+eLT8fF$UU zi^~B?z*GEOo#qvQ#6PE(D_B8~E%jG2Ma4h2sq(WBAc`JRbO;dng`%9Us~{|hTv#O( zii(RvGnTRWsOztLalHD#)ld+M#Bp2=F0^Ykvd?@()yzx)9kJF71c=CfvXqraN7sN^ zfTtLk7Qa}F3S?Q;;JOa!Qwr&ib$}%55G@S$kiyOk=shes45;A4)O9Hb*0io%!Un4XC05QAp4>RBtCfF<>}>>J8lv z$daeDXFDM1u3X_C?*L@UQ#}l;5Ccf!efl{DkPsd6ECyvIvhIaXp0h|cekV|I_sMM+ zQ2F;Q9=o6?Mwk?buJwxr+{}BFVgWb)z9?pREQ-mzr`vAB-NvC+d<&3cS({PqpG{-8 z8fQ?YJ*-U%bm+M~$gL=k+V4ex+H4yF)I)or7f?{xWU1f|-HbyyarZ{!+@9`P}E^S{O(#(4>O}NS=rJNu=8)w$Rl89=Ziw_9f6b}aw%huGFS8% zO=+i$4X?YR7(KE17!uEaEE11RME241DIpQr<61Xw)6b3r5j{WX1QZmOPg71nb)NB1 zost9wD7eiW^0+i`4bxmbu-LZ^H^YCb}-5g}w>vOggTp9k#h<8H|;awQp)qvJ#jp33RbvO%c%*X48 zXPnUgk5XzT1igF?3l$(fJBLM<@Y@y;TNtQshA~Ua&OnRQq<|c(Mq1&P3xH>5(3uN> z7w78m2A7OkQf4kqYGaqPeybmu)7)Cg`m*n`<6~Ol8(wr-Rg;{ev zn~favZaL=I;~JnK4!_017Qnp=n7l)gemN3&Dcsj-1&$PMG$2Ac8=uniX+ zbG-f>I1pbwM~-G|jsso*iqD|6dMTE!&m5%-{qg zIZxXRPB0Q3Nd_6v*`=Tp6hJ{-pa2?dgPj zLZ8(JIK>KHTL<|D9dWFFMK?e}Y~hA#jVSAcYW-OcxP|eK)yj1T4n(Csa2?At+!t>F zw*m)~?A3X&0dOE@H9*ms*}9x>Hv)9&HpeQhXbdQbyBZ_QJ5^Yg8Z#&VXvd;|Z3Y~O zi<==!KWmm(nnR=cTWuP>+QMm$gewm}wnTOzE-bs)w}FX|vSGM(8oh)3a4^Q>9RPo; z&42-|QSR|b$8uk`0S-jhw!rmwW4Lkckl|cRwXe*z^LFRk12{K;9<_&67FrAqonVz8 zb^tVX^;ud|WCx9Y4^X^JmHZx{DLS-HCz$&=T`P z)*k{JVa1wyqI}B|$CkX?3s4Ztd<3YE75YtYKtlr@p+`Rk6vS&E1DctsOVGA2pn?95 zwOaiNpdjx31ke~O)cI2g8-(esLlgYbAK0LI6xbhFKPxt80I(tR&yu^LjBRilGzi#` z`80kIu(_9X5%zrs5enuwnn3d}00r@*F940VLW2eadcG7?{t8eK>wSeb`20iG1{1#q z4r>(k3}yHL;`I$I6u*nUL2gAC^@2bC7DDD?Wx}hCouTX;itKWqQ|eG;r}kml)gO-R zRy}oa@rywtyw-Bi7c}pm&)>o(ZFUurrD!`HCeG|z5_O)G`9N~U@`p~ zItF%{X$cs_OgdsL?DXC>1Q6vM-EyrTfxdT*-u)5iSRFm*C!lY#6^>Hl^22dJ-@Hr} zJc0Jt(Zhd6<#H}MR4!>efH@cG)_CZk_7J9n_a-9Kgp03d8a9aue39ZOv6jlvbzA8d zR4(NVzC~KoPPc#k3T(<5TK+5YJvoBqdwVj9KXsDU{9)&FznlUbh`&q$Zo_DXJM=qn z=2MQiccuad;wMvC+2{4LS55j*qZR;~Vufz|8_-}2`TN;H z-4+50V&{c`hFPHt7D3e5E3bROCyN1(y+T#}VJ}6N`ZJj=eir~GWL_@iUQ?C;nt7S> zmjIfgLq7{m`amK!SK=8IWN*vN|EtV`-%_ofQn|u`~(|hPcINbS2zdj$AjD z%JtiofP(n@N~Q!W^jHX>uTmWaXdenFhyz0b4Yxwgs{u_;at!CouK^UqW@`X7S)o(c zLfGrkrG&k?4%q9_B!vN+o~8@?*?J}{ZXRy1YlZ_Gn6S}wX#=1UR%nZGKvzdP3LCr$ zP!MA_F$t_tWeX&@8&OIEuLxl8;v1zAz-FZC5@bap+tU$_+4kKED2QXXG6}5EUD1H9 zF9mI|9Z(RvZ3ooH3SGPd(CAc}5F_dp5CeO~ZU!8!y_MC>(sO9MA6OsVmbDFY~01vjp zTc1SEi%&bqu<8_$i%-+;Q$XgN)MY4>0;ErF$&`YJV^dNA1#w9#pmA2{wKPC4CpdP5 z0qKB(=$Q_vpB1|IETGY)piR#M3S!UmfMzG_0t99NI;#}aa1l@t>t2MLZ+@J)`SF*W zGNlu^ooPR-zL4ouixCSmfs>ONuIFXomXyLpUjYupq$?;oGf6MH_Eo1#28>T)wyJIP{%ia6>C;v)2n~X;gTaUigCKM`!5|nKItW68K@bE%5Cp-y);Vi#o9Fkw zf4m=`kFzh|wf5Tk?7j9r`|LA`Am2R&WX@*x<0&9*0dm`EAn&rIV_`bmmjQWq6ML}? zx=FFB9Kyag>nwnUkznk301II^`8~I5ZL!p{2`$v zKeC0ER{#l#J1W4+qU}`k23O(_#T9P8zdPBkq6M4T+^c}Q0{ErM_(RbdtGM3(kL$o@ ztYY6^hsK|A3LD>h15MZ(ISoB_6HVC4p1%n?eFbE~j9Y+CiF`Vat_E_-HrAvXNJoJD z>JE_b`_vH=R`FdxA@S;6K&$@Ll}>v$;gGQ5L~wPdb>^jpg!-6RU`T-CiDdPn0nI!f z+3!cj01An-V*qso&5)$4LysOmy#)KCP@VB+q3{wL9 z#81^2wTXm*u?JO`tfiExPgpPjyFH$o7^pm>_DjUT;WKJsZ4Bg}QQxVHfo-SN(uXi` z^SJs|eGKe5u2wa`z^xK>WFrh@9aF_77)bk5o!%4!B?nb&5(f4ctBadq;O^gQr{);Q z{#)J90t4F*sQn+oz_^3z-c}g6w_knlQ4Ac}ubys$0mmNon|2t;`%As`7zWb+Qf=)q z;NPmsPhcQxtD4yn1Jm=>W=~?^#zr-#GX_Sw)y_|0U`DQ*-xUMZ%hea2#z66MwdffP zX<$lsFt4 zGN!1@UeK6y^{D|E7?ZAU9*BXfY3hKNF>rIVdSDO+N=B=LU&VlPlzQ$p3}oC@zkdS* z>9^FoZ(^YQnwt7H1`4mKwcf#iw^X&ii-D;p)RzCm!1kkR?)w*I}!uSPNg_2Q@N8CX85p>guS(M~uyT_+onfGIv)Xh926DEju9+Ap-J*7|V_=F`T{{~C`Cj$e zxfrPOsy+t>&TdxU$il#>ztp4iF>o_i{WKc`-dwd}0S0btRsZe6K;9}&Xf~V z%`raI17fJB`Z`PTB-9-~cQvHd)YH;#=(=xla;3=B))_l7H#ugJ3JjH>LrfLN>_(=J zuE(P@tj_v`n!{~yDp0AfXZT3?Iw!p77`_qG89y=CIA$s8G3!h@G4MChA{y#1WZFA&?_0$jvjvSHUy`9S zY#JJI5{1cuIY}7*jBp)+*H*)?zal;qrrZ zGv53p71wcNuoi;$t)nn6q<$2cK9;11k6!&adYTf87le!@$__!oe~ zTOtL1KtIsbMa1mn7$-6`Ix0XX_8T9^Y(|FMK`9y0cMBMC2{Xu*R#E{wIN|F!FOjhA zj@}a6Ic78-CZft@5L3W0vymZp;M5tmAB=eaBo+`D9VEPs6V5?l>JwgK@GsGZx7J2v zqV@Y-M2t6vUA~==m=Ngqci3Pr%$Yk74b+>^&78U;VfNbY>h<2lF=vsXDJ_GTe2$rp z+mBQ|r_QizUTFNe+PJEU&dBOR?d0U~Gaxg702=3`ku!d8<1sQS&8N}pq%vEHlxt+wQ9OH)c zKo|1r4C}lNnyCmgsEtcWcoip{H-87eG;m_;0OJghJ$ zF)og&24;9*?6?V9$U&oVJ1yWEh*`ifGm)WIEFvbGV{*gvloI2NF%6GsqJ0Qa%_<3+ z&ndc%3@sIGBlQ-_;+RQ=z|cZEgP3_7bM^@IA$gGAL7W6RILM8PX!YeLW-iCvKt<$% z-~iy{?J%@=q8zna5y{QwGEi;Bqu1ZL3N8Qj#qKp$gilTuLKZ>o`0rgJV3u$z7 zDoEWlPHrDEBVgZ4%Xw!SzB#y}{0wypPb%q^4Bb*Qk)WAc+6FA}Z`$0JHSVv46$6Q8+ zYUwBDcaBLzCh&})Gi<02jHrf4plha%)@v}16TVR#szD|(sT?!=V8{p;F=IJq1u}HY z@^pq>M_A}}w3)=DaLgjqL;c1@%su!Sn{Y?J81>MSC{Jft-CbaW2Zd=s7Lf2A zPIwnGv?M7erkZ2Mlt2Nbr;M1}9CH};P-|6@5ev|W$^#%wZYOoD-V*pHbi!*e@-PTf z&(9>Liesvgp<(PI<_5>?^#c>=6FS2>?*=1We?tZhn*tKP#t9cgdY}fS#8h&O2Vc|E z{jMbDD#z?YhFZdws#Tb6LnHj!JAU2wW#}2=Wlp#P8M+-#Vk$T$?HDkDNrIS59CHXh>5>w7G`N&Y8u#MAi>v@i`9S0`h^ngc?c^Zvy zV@6=6AmOu|a5*x8DS?9K8Nxg7im>5VO3X=)S&d~;g)7O3xV>P6FD%10UT?Y-caB<2{$+(L$&o?BPD7(d6%#RKUyclhl3?KsRa z)A4qY)2k*UTJ8fQc5A2E_0f^`yWSE9IpJ%_kg%PYVvbpV3K;4)ImGmR8W2YFBj!d8i5Jn>knRS0c!-R4Y+0FXwPk5O9U6f!MzUf@Zo@&b9$*H`BOu!xp zqe}eC0pWdp`}vTbv^4!D{lzgO%R_p~fX8|b-4Bhs2Q%o-*hv`wN{hFU8J!e}V| zL4feeOsfd#snqqbF$chi0?Z&`H-u4m6DNExOxQL-Z|Z!G*>X9gCxe)c9OH!aK!rUz z!|MJGMl8At!hz9B!s|KV)5z4Lu1JiBWB#ZFhE|n@z++|BaZEWfjY$uL@pdc#Bf3q! z1{t(YO4moCxP}wni3~a6axx+>ni&kn1}&>8u5vQPC_@f6Z6fPX3=a!u!}QoE>Mgp8 zlUs=HiPlm%#JD-8GEBFJm_Ini2k8M{Tck5=TQRhHS``=u-2@+iN=bMHC!BE;7@C>N z;5S3CMRPgEg$ymLDoM|Bj@f|>bu-%}t-|chgJ488X3(wA&@;rPobWPi2{OV-Ob*A) zyajs5AG?WJ!ZEAD7%v%d8jaYG(;D6SA`)K23GYT>TI!S%7u^Adn$by&lVeJe39QM8!5<_KcL!=%-|~_X zafiVO`&|&G&RRsm^Ely^VN5A84vzT?8LCVrF>^WQ8Zu<4ZL;1H)6s~66lg};G0f02 z#Mzwiq7lH*4x*D7JI9dEAXHjEt%4FhOI^;3NVA#;0_X=#tG-)qK|G_E-@J#vmfEiG|>G-2?usb`3HIAL2FFtj9a5re;f z8eTviGITp!P#AX1+Z^LZhWt$)8LnElAmL|6g_VM|=) zm`Y^mm558%!?vLjC$S~yp)QXKxXcMpNC#o+69vRnaEt?)z>XL(mpI0TyD0RWSVl&? zc>;{^qcGL7ii9t4!e`M?8ltI8Z>@5Uxs7`0c4QKBo?|9X28KqEOJ~?=G-CPju$Ca< zvz#zP;Xq3eQ^qk%!kA)W&TvctwgladGBV=FlVF5nN~i`^Bz%e!UV;pD%+yT1B}zHQ ziwqf>Nz6%(If+c5Pv{JbD+MDmr$PozDtRP)oD;qpK7xoT;h43kCoqDDImR(|+|H$S zXc-wX9gVn)1<>qQMZ!lo;lo%!pij)uTf)yV<=E6zKqfJVIc6;0dMeAMGpyw)FydBN z0eK{RkP}YF56Yp)#BxWDSxTgaX=o31_`kjVNg(A!#cbiATdpO|4m z5^A?2$zn>~%}Jg{Nm@&l&18E{!{e5k88W4c0&r|^r7Oz*E~EkqI3{xzFf{9x5c4O;DsUYF4obYTrFw}x6cD*IM9Fq>|ft%|jW(&{E20c_6x6ZIJWne@l z7C?j9OTwEtVJ`|(4|78pTPvSq#?A@pDIz@^ImU|&Rk)OlsCyQSD8-CG0T9Ll)^ox$ zaU9WtvXTn$a7;Dop#g83t+&=Xj#-co3{9&UI>Q#A5r57N2|G!64JYh500W-xrJI;M zj`?#Q=%LLKFEJ{|TtkLBco7-V`5cT#dsaxel!RAt!kfdGN@Cp1az3G9OWPd1(tmIw zrSm~8JvL;_VVlmuKwRjAG-?PZ$*thz+>jn{4+X^Ja!gKks4m6CEa#Xl$OI-vonddD zPpJ9Ni3=cuMsXDhFXe=_g|p3J%I_<5+d za}kh%1tw`*#A$Oc1}3n;B*w)t=}Um2r>p{E7IKUa8G6bp)*1F=IrO#jn9)REsFsm% zHYc2g9iEJ@BF4!vEC=+^Jxq1zO*5ZkRw6?aS*FggxC>xJe%Omf7YWbfguN(ClT;ot z4vz7M6;MFTT#nfhR#q_?F&&LK5mrDM3D4$)tC69hUqy_aV~VhV!0Kt9-V(DoX3A2~ z6X*^)!&+VhBXTfJtuPMsZ9!mPMU6S7+Fm%V5OV6(CHV zE}w))aKZ(+-lqZziAmuYn+x>NBv3-kJp+^&_Ii8;eDMaa-PS~>^7#bZJ#FTQ3 zdjl}k)J|efa?IF`z|i{Eturj{I^GV_6ZRuRtEM7iN;swjM+a4=l$c{2 zGZI(vbXzOQi0NoVIX;pHUg<2-TjB^Od@jswXAtA(m^oMgxosyghdHJi-}uo;bn6Uj zc>|27Kw+wZmxK>;!Vc}=r`}pc#1wPPxJ{sk`c^41e{+lr87ixij986EObIK%wpee8 z{haWoumUoODdHH<6{sZ@;3Q@r$Lt6z%dIo4UlkZ}DXai53Gd;Ai^B>iBBqdIJYfZt z60@6QW^WF)RwWs60F7{j6<}MUx5Q3Pcv)Bh8N~QF=C7~)^h6%8+sFr zu!R-iCE@Ly@Te`J8Wa&zz%g0KPywaH{K+xb!+8fVFfse*}^eb!^(2&3>$L`TO#ascu9B@CwwTZfFfe@IcAdR={JD5uK|OYOS7XJ#0lphLpQXH7#GJ(4!b8+#4O|(`(L5Tq~>ZBWvNKXM75qA%an1y49p4p2@cpfKQiNb*= zXJQ;2Gu;OaO{G=D%;lI%$k6I1b%ov%)6s}bhy-?*+*h zj|`RNgfOf#r>zDXu3$P1z8sRB#>vj!0}L&|Jj7&hOfL2s8t6V^rgDr6_0R&$uQRNl z0gTv!8PxB~Nq90RT!IYU?P_AuIpz{FG{(~Y&>L$K$E58AhMccmXV?KW;#}BnP!0)C z;DqO(Fd6D0CXHiOhZW!>=68;%3@gh|Mhq3e2v1l6|g6Fz_pHDfg~sT^}RZ0{`1 zt+&KjjwweyG!E@L!>*$dWA=rbD2IecbHd}pz7+NlW8;`i)I+W1BW4uG)iQ0B-V(#XsIVQ15=6-N=Rz2t?^)d#qj|U; z1Omc2B>Zcn@XY-nOn1W#Hly$_k%%|!*}+3v{u7C~aY;Z!%ty%2CU~j}GgA#4V*XWZ zV~nxR@Ka%>my_I2k*aSZLLI)EkbgxY8&EU3^R(4^8~qrGj6VQcAPLW5*a`W;)FZ+` z2QqXobBOsqQq4L<$gjg6vz{CabvTM?Q_*3PV(7>v&(#uKivq;rb4}usCr)okzjYRB-1bRW9Ud^GA$Vx;4 z&XbT&xL%Nt3_0o?VunPjDG2Lt@B@jPYCs*%qZnY=!F(k4QKT6Bw0F=zKOrARBIS@A zD7>1G!I8+g!=Qz_VA>kJnjdhDydN2AWcwQSO*|Nw18iV<=_R>;MyfvQ2QgZ0RDlZg z1@A>7GmZd4J=u3rKk@r+B;r9NusH@AQOi4=frpR@JVLBtO%uStQZ$e%R!IiF9jSWD zQ4phEU|Xx#{jEskd>E2J$eWQ!2Ks&)YEDAl;CjKxV^9(q<0j_yNHumus1Dw>>@wD2 zZ&=SLBC%H^#g?KNBx&cW33(+F@!@AKbjG5RkU^2iys!_wZR_-wc$sVDzpx}~t&DZd zVFUw@VH){3C&>+rR9%V)jUzW910s;tpgI&0@&ad|A6tv&p3-&f zWfK@U>UhZLN|Ngzsk#skX;K|*9=-0*MIse=%#v<#1|j_-kvu%rP2SW=NMEiOY(!(o z=eddL6RD;&?2daqEJ=hq_)!cnyv0Q%);m({Dk9W*N(n)cu=(<0nC41CdPO3(6QP1^ z>-CoC$u)8Yqz8;~tY?L2U^Tw?pw)CP$#suZoqG}xdVQTw$TN{h6(Zzi3JG~S5-GvL z>6x~QkZzoTm8GC2@Qky84VS>cB1|JEoVh`-*i(_Jx1I_iE<(CQBDT|jkh97oq;n+V zLWJ&cC1^xv^(5B|wje``n*vhPDN@ZOJatQt55*hUD>Bq!7m88uE+ergBE?D&p+Qnb zNQX$IAnX-Y>PEdK+D9UpkR0$;E1Z?AS43j%A~kP61NPB4 zFD0aHByto#e4xguB&1CwGAFEYZ25W>9_8#ii6xOS8So>HDH7R>n#mjfu}A&*i_OzlWDWsn@$ z%}Ck8R@8zzOu7hSw8N3UMX!6UNU>r>=rxpskeZQ*?Ghk#>Zky4tfnOrS%V1q(p=JF z<{G&K8QRXt-@*nb8tXi(o#W4EO*;ewuX$!gfJSz{r;%-L%el#RF~)| zOuDST)zH{j5Iif@9~&F9Vg%m~wLvpuN~|#_gh*}j~axO z1*}$UV`m|Kf%-ygV-5Hf&CTq!M~#m(N!@%n%97PW`~69yqQx8#gZZn5QoNC6K5Cq9 zNxOY8N@)X&m;wx>FJ?X37#k-=raBfMin5FqL9#jrY-p{xma4zBF{T+3{PQ5*TybTy zn(d5jgxrIyM?2#~3F%po{Irsnt-jyRcr8Am>JI3(DwTKD_c|Ltj4>9Bfcz(wl#z$j zKf4+02@P!b0BEnc;bN(-4F!>e_a3|XjPX&S_zr8)-I#6htN=@}4%T>UqLQ;hJ=EQ3 zi4p9(S-E1oDEM|Ue{bW9LP`~D{jBjL!Eu94coteF_XgYgtZ^v*ZRvJ>jMjwwDk!e4 zQeMU0>|=Zj|Bm1CKE`GZ3vWR2m*i%aRzi#w{)=j6Nw&tp&vTaCVC8*`4TXvuETOOQ z4Z*)l9o*MA2!8VQFSfFuvAdA7n_cc_Y$kYivpUZitAy&mn7P04Ni?iqf8*Oi`EKUu z5Bb%*Rd0Wz!SI;95Gs<5HzO?=^6w6$`2r!U2)`ce@v)gN8XGeC1>;^J&Bso>U|cQa zXoSql{91VYwWYn)>Dv7Q5rkGxsF6Y{m+AdP{F_`_m?l7_9RHRF2*Y@XGx8@t!bLG=skTccYEjgsQ=QcsCeJiD0b4Y>I^JJl|4 z7+)4Fu0I17#wqcN>rXcQEu&3H|C2R(+t@?M{8N4JZD`{JhZA~N2c^i##=c{m*f<5E zdQ+zdL!~;BnXNwYuJMcLKtE2&Vhi7g`f&Z&m!)2R-?$3uyOk{+Y`i=?a(MV)5a`3h zH6Kd;GfJs|tJaUL(Y>R(2$cyivOOmb!k$Dhz&ZO)r-6hl7=Tuii55S`o%Vrt`$j2{X@{$-Xj#8|(6>XpM$$wjJQZeB$dK>$ENI)+EEfb@-vmvIr zwLCCVd06qIt(p@dK4(5_`-|}jx|dK7n3oK z{U;S1fpZ$$pK4@+dz$*yIODiz!8?uJOf$NK(hN3#g7LUenZd?PG!_#?HyY5T*H{+S+nS9Fb`%-?M!80vp@+pP)rTk}B zB=d8mxqIxv?CI>6*}=%7NNn$%U=B6euXFj7Rt`R8-+d{+&EuKYS;3V0?5!+gTfuf1 z@61EYo@HDav|{*t7y|k|o6aUXgEEh^{Z2jSm24wt^ppi*n0BALFXU6o?n{~K;+Y{BvrDQDTnVw7dlymo`Ov~Y!UQ79u^WiCvFAFvUwN_@RwdOL{a$fqi+{l!n>YZHU z>K?eNT6M{I0)7a+gtdz?^@j;UU(P&uD% zYHUiXTRs0slqDT!0By?f$H3L^)bmQ6_vd-D^*mqp{dukhdS32=`|~mu>Us8s_vhJM zTAq;RQa3d;-Gv|2hc7?CI0O6%>eg!K99jV319VD--gNLxph2SQ=3Rhr3;TTPuFEzcxXN_Buz(q@rl z81I^)^SLtuyf4VxAHY}WyihfRJ(OfRE_i0Dw~|c9V4?84diY_}B$)MPt6#J*olFQ@ zxoW@uzC!)rant(-p=7VRvAyX#gC(@y!c8g93Z^_^+8HKgu)+#&1^iWP)5C33_QBFX zn`;c#dP>!zKnyj9^u)nr?pdLJ*%A7W088abolWq!bW8R!R~OT|uRYk@eF$PP>@K{*BS1nRcbv41#plp#^-VFv* zL_Vx!7O9UvV`_o<4DuVZ|LJZjyuV3a>tRxwm;JAe0M&toEi*oC`i?!?(=`9Sf*2H( z#fJAXjS3c)1Y58c`?f$!#9ECC{MCd~<9 z2)|hg5jQJA3EeCKZzSGi?Y82QK0Fo;T?_KGE)@&oA=Ei=8^>c

Iswt6^>BhXiQ#`NLQL@VpAa&HHB+@|N44GIvx(M6-PwYUmPulqjUz!1gtDWh zoG4_`CY0V^7Z7kJjwT)x$}YGGbX3SCls8mB0(2B`>JkKuWElk7n_hxQ(=-fa8w6-4 zL8?rTQ6zNZ&b4Cz)Q36rUBr9T*ltXjn2kh&*;oNONF=qWLFDGU!t`$uDfp9|s!6$`Rws4qq;@2I7HSjc#|r&hQcM6o zMRZQK0PWOUlrncV0{Y8?IU*k^DiqJ&DXDzkB82SeH~aigIZ-#J)}BB}s~-g|Jq}O0 zasvW$(+R~7E=Z}qu_LKo6f=WlMjYz$(XeIy08iCKxkpu!wQ^`w|LV}#DwQ+k9nEMl zhSt(_3TIysXpb~n)?;BN`Fqi?^7kT=>ciN40XpcMlzFtsxSHh>APP}M4rPxn8q$?3 z2S)Z93gOp00S88l6kD4*5?e*B*RMx)quCb%v{OhCa3sl&A?%ki1lkEmeVEcn92d%8 zlLM!dAY4G6iUViY%h_GE5`N*Vlt6n8F0!r{c`|oLS&M+HXn;kqr2@25MiFon5sGkj zo^(F#DN;mbF8qwb#jr*KL>aS)L>ADOs!ZN7*)0KI)VSE!8Y7pG>#5ocaqoIQ3~?l3tc!-GLAPk*xu2a$2wm; zZrh5>v)1vOPuiH)ypDf#(sos!5;|dvI~@f;35Q}Ijoj7rqxQFITlkifwuaQoY?$7K zvmFGeHcjMckYA#iGTbgv6>-ut#Xq>KZE$|gDck9k;wq#Q##Rv!+d<^0mR};>+A8O; z)3yY>_I;H9?VQaT7w9yuGxMBnr=?r=3HjUtT||p5#=;Z)-_5oJ4RBnu?GLf?0oFv> zR;g$qJSn!n*>SN1P1)7hVv;t*$ZVt*#+BL`C<=)K0)&XoJ;(%g!Q_a9pdO7LqLA zUx^v%NbbU#$MBUGY|qBmj6x^SRWsPgq-TV2HXg^NqC4BI{LYKEEn439yhn@e4z1yP zKAd37_k3ZC?cU&Q+>RWxE|z~^ZFlg*OSWrp(J&nk>cJnsWa|;?mOHb!;q|>s7z&vm z^EH=j|J1VQ@P3zV??qH@K7c?1Yq9z0FSKo+qEgu{t13eNX1AQ1|zt>TP zVo>LW{azSwhQWA+JRFWNGI7mwIqQS$dn{4Kcy|a&8*yiG%~HO#i~ad)exTK6z!6wYIWM!P(uH6YSS(xl?&Tf;~^GoWOsdXdmaONtgGUc`nE>Pp}CapZYQU zr$qY@t@&f8qo;jwkoN^}H8wk40LS~rXRJ& zE!)Qv412#6|FBho0~!OegA}#%kKt+?V;{Gq*zJ5+g1u{?!Zfsv!Ox>Gss2f~v<>K$ zbYK7AsAra|O+CG01S(8k+Zfa`Mt@x3Ajx|X-Qp1GcNc_eTtV$%c$So8Pwi7eX1HBj z+Z{xJo*rhI#1F{A7elMsH|#2kLJS$^5H%FmJ6+u1yfO)+?z^A(@g)1THg_TBc>!&t z!QR#U2%=Qo+xh#?+aJQUE%K=t{^Rp@ga0+f-klpM_K=jzCy{hK+fUq&#<+Nmb6O+5 z6vrr^N>$Lgo?;J8Z5Rcb5X#OHpy4RY|Fg-WT%9S-8||VV*89^lM8v;7lZL&XTTD<#8zCO}iWksRrCr>H7T7A`#$As?|Y~Hcg}ft z*wbO6c$@|bdK6<%fN7OkU5B+=fiNt!SAYkuH9>Y6l}=(#J%?EnpQK0pSj7h2S9TsRI5 zVl;86d&=IQ2oJKylM z{rNx`o&V_>`$DZ@IluUfeTJ5~p09Zh{D0}Q_P@lne1R&6L&6v!=0$9Y&)HKV26}G`j=K&}sqI(`6^fFMHtH_Vl@5IVM zO~1@tJn3IR9lt`l0#;8zvCWKhiE20qzhKk&L3C!zY2NMMh*d!rP_gEDH-W1C7*F=u z`^C||L5#V7Z$_F|49s~dGpnQ>E>k8yFN=O89g%caLqHWhVh@sewEwIyHRZBSZc^b!o0?po^p2iE@I>Y&py*$ElZ@r0 zmWVL-(#=?hUPbv%B0b#OB2@+ggPZTB%m-$_sIJ=jH{%Q{1m*}ZxH3ViOHGWZ(>SVb zC{wBm6SaOMB8^6|a7>L3SgO`59xY2(vKVDZ2-xvXH-h65JGn1xrYEw>p*lsHT5=!M z0w)rTXC?Ci)F5-smm|qN3&n_GtEm&!3)GFXWHI(<00kuv0cF*JI|)Q5x)2(M1Ei}} z>8XJf_c0w2?QYt`h*IQp$G`0T;_K;tnOIW|CChg~4wv);K!q}80O(!x$lNhV&&Dzc z#PKa3*sqPBKox~al3d7ohmPOB1i^Z-tWNJ@vxh_Og50k;wWM055!3S2{nL1S1GHI16B zITQG61#093o7;hAGNL)OiPgSSNnWdCQKB%1(E8Mgu^g$gcK>k)%ML?%C&StS8A6`D`VtafE0crvRZ zU>aj&%2)?z*P^?*dEry7?Jmj$N0VD))w^V@rs?prvZXXv6&cK)AyGBQkRUdBi6JO6 z!`ht^RZ5d9#I#s2^O`aZLzPHScSxZK(3RWAVX&0U5CscBX?evv_FLi)k3*CmtcHMD z-ElIXQ5m4hKZ-rzYWOozJl=+QW&!mr0E}EV; zww-_)#_7xWw%3699A1uq6o4-VDuq=Nom0mG(+l{>w-AYVQLt$(VP+&K%0{9;N#-Gb zfvOwNlV%~(&xjPqjuBAo8jpg!HWnD7^gT@LG@s-?Uxnm)Fit=bsESp5&`cF6iYXnr zPo#Mz2yL)z0&1L=m&mSbBvRuDIG=0XKt=iwEzzrGz(o7AYe8_fNmi*e%s!=9DhZA< zH!x92rPO@rCu~;)7^;(}BCCF^Mc%<6B9&sf2APXLG|%28Cd#zfG}9A}-auz+vE928 zk(G|ul(|OIoQ-BJKLeQ4`IsC?n`GIQirOc^ji-=O3@aj#ASLpeQ)=*UgI_qyC!hv@ z={AWfnv6{1{8^A_rx_PfLQD(-icA$>loaf1DZQx8ShE--zd$p z5Kv6~{J^A-j@Lt42xGS|STk=DxOB_Cq67yGNSV zY$isudvgmSMX)ctB;JfmvT=7DKvtwO08nGl98Q&|P-D-wph!@O6nxlxOuO#pB@qIZ z*&-8crtP8#c95u{lKnGIOVl@nGRzGn5v%@`L>;FZCz&>B0tI9JZi`W^eIh+&QYSDd z;Hf-d>QKHmY)`c9rDKSMflI)Y`x<0U1?kAiU^xU7H>ybI&y;{ElL%||VMaTN8TDY5 z1k`wF_*N2%UyH6J42vKu9s6jw+#0(tmq1EUtq7xzJ@d`65v1nR=gaR*eHWI3PKnik} zMBjvfd^wBQH*V~qYAb$J_=zmV1}RGfTL1hcS%ESpHw!2lu#yNFB(VU^-a<>&Xttf& zNe!>8S$yOev~49K!P+DM16el*k$SNb0&0Rn^CX&SKgrU)SQP=q7MmAHv1JmxY%H6A zA|R!cWTelC_(hpESw2NCqv;$UkYrAr3{(%6K|l@4g2^($Gm@GrOF^Fmr_wUS%F+oa zDWw>?2x9is-aT0v0X35A=gRcHodG|4z)84_#zj}AEDe0J`iVF}n0ZdZ2jw~pRETN& zVxri1l!EjZ38ty0z`($MTRJclCyXh}BQuWZ;OaA?ul&{m55J2@RJ>r*xY8$5nH(_6 z3eX2Nma@Xd%)}I1dA%SKU%@L5mm{=d8ord1XDf+v4z`Mbk{KHp%l11;_NNAO5l~by zQ>`t&E=TYPe~rk4~P}EC$u8a(QDz%lUb`MrT zK#f{F7$g)_?jj^;V>JZK`WDHee@-+n24}EZW0f6~v1(|I7{k922t`5^T3i%2`_#ois85DmlT*}hJ=7szDUzBku?xdj4x*{XYZk%NWgC+ zA6QlaBZU-Y$?j8#+Crq0!paFKiM*vyivBbU`ua1KXWpTVP$w8J5m4>dsC0j)t5JsT zOkJ8La?04or~C(zXj?wi+@1F+Lv;pFy#k6T6C~49c8nrckz#tq9I;}Vx*|}ZD!A;A z8d?p;vTq2e)gIp06&lDT%TOzIYWaY+_VxgBMAcYvm_(Rj?4!&l?=NL^R$XUl{Cr;@||4fAN86Ab<<^qw|y_# zLYWtPC&(X~3jW-W733g^Ax^DuCz-3&KdDIYn1;-|!CX`8Li!ULC2-f5!%$6zP^JgiDE3;U$QHB2R zF!BiZAIhQ;rW1Wl^(V6eb(oZIc2+|`&6@O4k^)~Ag*fC@2wd+%v^4SnO$xh@*jG$L zI2g-0)J6?_$eb3^jgXw$gRSryA%#jZUn~Veb-2l_jNn1E1Z*?zEhNHzjpRIr|2}=a zkd(iPERTRo7nLi8&r+H#q2}QJkP5A5 zz_pi)W?vgJE@-t}zW7mb(GBZ~nMAO&)JSUif@j|as_Xzzk^VY1Ayc6(RD2A8l4A46@iC6f>f=SBl)w$2mBgIa-r5>K;~Y&cb( zomGdl%~A-yKth>4pcGX`y&e~^^UH`3L75{@bD8OhZX`w;7jU&*`2|pIw0wf(ktnD8 z(@7y2lAvz*Pg&6)wbb?9%rkjr8InFg%hEi@t3?46R7Yq~bT!Wsn2|)+ZzDwpc8m!q zL8M;oP3K4wztT$$m9I#AJ|!5;lohy7f-?w(t+G0lrj;a%ynZA)8N*5`L8ZsVg^Z$F zZc@-Y{27Blt(4?n9X9dFtA?grgn1yt2ep8jFqLHyFwc>GCiD7)R%o#-mw*zmN?Q1! z2~a7?8Xpi$jn8`-glfjONFda_*1;!>uXdwnyP1bud{I}Bww)CbP<*{s?W;|oBMJ$u znHpS?)`Dh9K~_pBSRKRnB={BjmiF#?v1|e=QkmMqTSI%Uy;%_f)q!=#WqPGF&CHho1(#3>S4F#$y%YRAfU zE~Dy%ng-WqBuU!{6=vFj`=FdufMD~0u@CAC+Aeaid;+Q!Y7{NnMAIV5ymZ7T);3yN z$FeE{YSiHY5<#-}(+srxug%1MGi_SwESrF0jb+oEzg=a&KS;}H;8|KZ>Q6IP{=u<$U6c}IvV+xg&T`yV<7w|ojS28~8ejecyrO*KRR16_-;%9Vv}ph+QmfzX ze7)KpWQi!HXZJdjg%w^%9J$S&7SW7%2&7N*MZS6)EPtD;c+7Ttf|j|3U$x!-*S6+L zjfH&Lb{Ja(D0XwTD8d#pK8*1zg1}^wfn~I5U?~chcR*mW=xWh$#tA4Zu)LoHrkcFE zvRwj5!NDalE9Fh06$I2=tp1uG-(gR+7Gw$2HN2G}EQqTv?jsi}UUiZ8ud%1aV<^#E z8t@UWAV=qzZ-e+l+w8p~8Yr-6C0u@-Ut>>>&+;*06vfUx>)c&qf5j4y8+BDe!sV4v zWF?_QLU8eUHTIs2;6$J2&pK7q-3uAAv^$VU7;F(Z@H!DYaOv!p&~4 zX?maNS@evSQd8$%+QvU>wA*;k7W-u_z1i9G608X|X!#$$3`6kjbNt=Q_G`8LbA0h- z`_!27b7C&wtr&VDuJ|0k?~45~t)-b4Ua>nu%bPKM`m=^+zU_+rZ(8~J>HT#>t3E&d zemy~RpI`ZRJbUee{takHPHN9_CzmqGB~Ct*V0ZqZi;kyzE6?+LyXu8n zX@T=>SN&d#wrHL6_aXW@i&lXrKg0B1^!D;!!t_7(ab9RdH^-Fgc$Oy^lOpdIeB-`0 zd^574B)`=c`1fJ@*V^|N`1El7QO$jUpA6S?60%zwJH?!I&|T7<$+S6Ne)Fdy^_2p`rpW^8W?6N=|i$F%TABXzFjUgW1D^;$-+YZQ&1f)9o}VaBuzE7UzB4^f5TlvzG7Z zuCMDeUpx)Rg6`}*Ofr5Nk=sdSk(D=gse#OXGpNZy+1d26RBM{+gs22O5)b48rK zMbnzs@=*!;zqHJ?{8WPezEL4#d9MCU7anvf9Mr;H!9PyalW+^)l0^N+?mJ~zkMVMC zrL#Fv-`!a&ALHC+*V8&jPbYeyWCo%Kte>6|l`r1flT;`762CPWGr1<4Kb@>sMOV{7 z3`JFHx9kxSqBjsDQKGPG(q5TLLjydOWYN;#oSULAwnR70#Dr0c7@C2UU-Tuqn$+CY zn609h;b4V1_lxleS8x6H(4uAV8^qkpoFRSmftKh}%2!2Hsij8N!cR@zf?7VTpPm{i z&ycAK@z?t4y(8rbG3iw+yrQycf-7-3*DYd3Rg#~gm(|Lzkcfjx2oA|`QUZ|475yar z%Dgxsjss9hqB2h)uA&Vs4bpEj`6(*rIwAeMo20OjzkHSc=cqid^JE1rGMsA+7?f(y zhA}g)*8db-odd7(9RAqV`jgT1^k#r6f8$1%Z0~fhV*r^r1|T}YjrZZqk~MrIJ)`o- zs9!ObtZM%FHF{%o85!fN;#T3BTrp(a#3)rcst!6o?vFvJ)ve)62k0L})zdjNRq5I) zJ~U15ZQ;)0X?kr;kFqT|KO>$k7RKc;27ilH;d0Dd_3EG=B{NS7OYmgeJ`WBmkJjY! z>;3>TxrKl92mLlny>sIq^zEIsid?++rQZW`n#GUYtzVm53(11sLJeW{7NH9HGbl5J>l92Jo1I}Xt%t@w3nEf*sm2M_C8NB{_?*m!9afCK0Qk-%;GQK zk7=4Wfls_&zsF1gFZO!X0kx+(v}uC02lN_Bq4R^fC-46z<_*Zsx#~gvKNc-(5g+uh zUPlnE+Jg`HqyCffypG@VC;f8|Ci2hv7n&=dum3XygNA&*_s{wZTFXMt9?^SK@&D%$ z{X+_j*6rcE^oZUCR~O3@3v}VB1;jQIM*(7RfDUiR=X3jC^*4L9EEGo?=qO+(5aCYv z0|yGj0~`GFzv|=7LPo-xvCOxYEsFiX4_TTiWS{3KvkLjOkMVuEurr$^Y zmmkwh$iL)qFlYEvfnfNrTlwTC^rtDrsVDR)6e8nEPs~C5vEks@K)y9xzg>ftdChSB zT?#bxDZgYwyM%*%T9|tlw>_=T(^{tUbx)%WvS#q3PwO+aq8WVTGkRRFh8be`4(MdL zBQhick?1HyHyo_ueAzR4f-R5m`Mrt700DutD`e6anf4-c&EV@_&~>e920sKitW2gv z2QE6%D+mZr=a%xgIY015pJ=--jtI0Je8s^C3Wn5LX7Hz<)t{ugtb0~Z*V1Qm_MD#V z-84^XjkEaP=k)ceGS)~ul`OJBd|59C|KWKwNQaf{ZdNW{4`u&#^|Z1X-12ulE9Mnl^gJ@|M1&s3uYe-B(EEC1jhdan_Uv&^VLEZYJ;&N@YOrAHIHvT6^CNJRQ< z6%g|WRM>-~kZ#Wxh4fAm0{=UVmO`K|O=z(o99P0U-jvoxEEXKj`2W!dX_gj#=Zm`C za*4n2qMqD$^qEd{UM1PWZUE^4>p4U|{o$i6NeV&^L2t6c^fP?vi~2PKe#D|dbfyKH zV)b5MH?U@}FB!$z^(DQ+5?V`7eh**`N1Que)*lJd8glv7uj(y#l;whCZ=gktdMS@c zB9ljC2sI9as4c3>M1cecv$~o5<=6DWh|)EPAYP^qe~nN1)*iaT}3ECSQ% z%Nh%v6W`SziPl9SK!uf+=GA~%_`=7C+T0Byv&y-V~Hu5QCGo?Ch0H#H{m8u*5?wf zACRpNOI6ItH(g@w;1b;&;SOjrv-p&3{T?lA0^gslfB4_z@WB+U8IVK#RQmQJgdoR~srocZ>F#OzgMm33*2-QS4X-?B@paSm z8$z-a@!}(==?M|uh2Tj!dYmT14dnmG(eG&&ZRIC&^inE7;dFiUb>69%MFuYwjit$+ zAhEziz2wmNfgHULA3XyD7quyvp+8QES-74bxLrtC7W_Hf5#^IoCu$dZh6mD2)&%E% zu74z0?vpe1g~Z&t%+lxTUR<)9;F8^-g@_^&AsFTQS^5qNJ7c!~5EZ9>w*E1F|0hph z;Ll-kfYcFfMjSFnGU&WH`X{}z7PZzdRP|P{C>4KXuKorkxM?n!85sP(K9LN5>?isQ zi9Q@!@R$%#L=+<^Uw>5;BVS)Z@alQ`PyWTB`4njuCvCp|_W;RZ^-IYW&DU2_GS7V~ z3t>tbMuGm1fU%(Xp1|i8=tn8m*aiA0BpUQxsFxF0_-Ud3cY+Tu0!;$H+r3D)6TdsR zNY5u0ms_Z(Xjz=EDa2d`fBUgeUp%m=mCIU)NpvD+>fzBK%#N@Z9l_o_`ZL9&0q^kQ z(H44(C<;@=9L?blBI~X4fJ4Jd6${Hu%Zp&>5fUvq_3euxeW2u7i!m8d;@+R@&k}PU z|2ZZz{C9q?r-yr(l_QKl^A)BA0=`wGFH_vRCm*&%kDz)zutcAk=vA*y5n(hKWoh`8 zC3+8P1(HUzycvApQaw?F?2)}%kLOP<)k8@Dd2y+(_w=fq5I`saA%O6COZB)|-+Ic5 zR4&z@qXG|D1~Q2*C|-uygmP}fxq7Nl<1%Ch7*?!bN8x@~tmhEE+e!#(C+S1VRXzFK z<(|qU@`~m9NbjEVsgW4st@0Li8D6Z<5;ctP9E{%NlfIC3Ec`@#YGB7F8mqME@s!zh5FMrPP0e#q>PJOScj+OUcsVDHurBF?wHy`~< zPN=uP0&!9u72TP+Nd}V1VDzDW4xY10f7iE?;jKfkwZlS5K&B3p0`hNH%OPo2@zvF` zyD&6$k{63HL=%1FMM0aoV#|d=9(OPEkxHA0?s>c&$`a4wWU1gB}(LF=g==a97 z%5fyjVUV^1m_w2Zy*(DryRFybwd}ck zf4Tk}e)~Ed*MiLDTi5Bg@gn-D5g#vT8K3a$%k{^!qEBSVugft7tHsCNT8r|0d_6q# z@@0s=?{|+5#WI>+e6P#rYuD>T`!?kxh>fx3x&U-iNde_jREJ%y}SWOmx{X6|PL4_mt^1|=* zyFzkDLMX!Wgb$1~5_t3`{i!f_I@CD*SSEtJvq{&zzw`aRD>vz{YlY4Hmd&~&tRNjq zhJLIHx32tsvwlg-+|OUA()()Fxz0&ddM}(Q#~mZrYxg;XO6^fl#-as$^%nhqVk-n< zD16Wag8?em@$0|WhiiB>W5)MbssfJOs(*lYD=u%finCe3vw*H7qoQ)d4>p`Q<&8H#1&*(Wi)W!X~s?vsd%IO|XAaXh$D ze(X@#5r_FvTT)${*6Zlr~0q3?$`dUk5_Ssq!*L=#EcSXPa7D_v$OnxL(tK_muQSEIl4rmn^~ zOGL4!3I)rYTY`;yI(N$*yPaOJOb)vth^BM)SZBWoBQGd8pY*GRhxz7c<0-AVocE70 zUexN>@Z1=quU7Bo>tl?Ewc0bzxNZisL~q9iQJEzv|M7HoGu-`)5!SZkibZM}@w zwC3;m~U8M?M$fivA^Z0i!5ITmVCgB6bDk0l%9LMt!h)4?jP zIDbwyjt7NhjYQ-jtYV~#AL?Tqu-04wejv*L&4_7h>b`DH)jv55FudNp3GN5XBG&S+!Syn*)~Vhr)7KhF;_ZX?>dc!*&mf?GMn z=tCESH4ZUOX!-k`?(2;`7F+H{?3dRYSS56#e(wA_?F95BB2l=}xpSytXxOK5p1a9d z+&OB&8OrMp+UsEM1$^nP#xlzSK75!lCLAb-1sYIRyMUhi)MPj-I&fDzP1EBMB_=}*S}LDo%36P?~q zON4r@TUSwje8sV^HaV~Rt1-CqRkKCOS@SHgkJ@?iL%Sonla+4m=*+S_c<1EDQ7O$e zn-6=^NYNVS@)rmef5Im`Y1}oeb?p=$M{R^_Ni3|$qnwhS1Ie>>6%yV9A;Io(BUUl* zKHN9}26bwzNm-y_BP3eZ+)_l% z^p2J#a=w%2k2MBa&dTD693$UTIchEEMEY9QahWQWo~OM6^t*4MKreoP=5>iMck+Rg zkjyDj8Lddg!yOXTZCaLUCh8;0Ia%Qw-bQVx-S~&EBf-kIj90XVlQMjR%1@+uUKUN{ zUG*RI>ML)^>b$~3M&mQ*UE^I0f}~ev*AKGDOnJADkwN;~Xv~CB=BRk*eX}E<_)yhC zbEzg1UE|`}qmbslH_<>vqLuID&WR}Gh0zFqY9b1mCn{-memKd93DWW}^2t+-J81iO z_Y_1bzsMh+YLsYYXPv>*j5mTT(4oyR-mvW9CubN-Vlf+HrlmlK)&+{=ASel{_V8ug zxJD}<%U#^q6jXr+FCYHMxPza}#gNJv!;@wjZwJvcn1fz1dhznbMrZ!jOe0onnC$$1 zrg391=19mqW2_~%VkX@UcbgQ79s-3aJIv?JH@0a7$N8I|8vSDP7tw7`z5$kfY7Ev| zX7V2h=FDR7CEJHVBYB@q=XtAMvR5dA{av)?m#oK5phi zRvW`CHH5gCFJEmWL+-CxZ9HN5jt?p`uC;vUe5TBJAX+Oq%$ILA#tc2b4|#^g9Eu|) z9QgQ)Y@`c5apVI&B7CNkPZarJF(rIHbvgfCW&G4RK6e9b?1I>W!vN|&!@`yPP(9W| zqqiBUcORu=SCK4tBk**eS_wIt>G?CdNfo&j(ap~_6pC)B2PbJF_jvME`_)x&$E@We zkAUt5Z8v)VX{VYsGD#*Q6MiI7D>1+5? zO(^vA?M7M}r_;Z5PaZ}=-O-)qGoet3$_wb4;D_s)MRBunGyZnt`ui(!ib3q;(wo$D zowfYv2Qw$!$s<1;D4OR3`wH}0lEk1$R7UyXTEdHeG9C$Cxd_=rv4$mliq+AB*Y7Z% z(OeCD(q6;L|6XJKeni7=)Pio3qh^Dfo-@VXm#3T=wZN0P7Vuec6P|k6tVbT)>@k|31fRFl82Dt_8q_z&ygEssyx)@&9VdFyB}WHFDU5xZFjBJUgq(O^f*fbP22VNA zF$W;paCX5+jnBJCHDZlp5X^75ezeO->NC9&_z2c~k^sm6N>@N$8T3Q%x4;7j*dDey zu8IGe4!{PpQUWxrfHH@^ZMOkCyKfLDhc#t5%0 z1fn}zO19S2NVRmSlxIL=go|d#B!hbD9t>0QAe*}VTBJtkr{`sWFIRY^+mP9dync|8d?hPR`}LY zJKB$5gVXtvVyqr3$hMrkz?R=t(Gxiy&w~wQP6p5^ohO2=TXdsi9XJk|3(a8Rh zLQuZNg!UcT{Ga=be``44iX40M%lj~JWapW8C&5C39))W&aHg71|!-Qge*$-HojoLarI-ZnGSo2)#sZ@5B1Iz#i{jRP@GE7 z2a3~L0xAw}HIM}@&F5DgFrE%A+l4&w;3zCN{^&^HpB*sto_p!0`Y^VMfEo*hD?!J$ z@l(f)n|O7wW1!Zen*OzenC_$YQNh{Cy#Q+Tn&e>ZLF3j3<`5kqVvFgU`H7rY=CGu@ zxKGmYM7kp$osz5Y-lK9JayN-NZhQdKN#xJDjierK*X}Sbg)C=tH~>qetIH(bgG-&p4kI-DrV0-@+C&yNDjZ*V>x2nHF(`w zDi2eSe2My2lUFwV&=VGJaum{!d8N!*J~;xTBsSmQ~!H*L%yfi*@Ge6Bqo}~SI_mG!BITkDzzy^ekq{cheT)5`h5NF7{5m(Inuk{iLKJhTSGUeKEC?-5G9bXNrB z8ntE6Q6shQWnxxg>;wT4!81v;^fV+|1EU%`2Hs6~3{Bfi=c0*BV7Anb^%BvPd?6E) ztyn*n_ZjTy%ZrZ~A-7B7&nD1$S=D3B#1Gnxoz3J+{g6pq+HdUi`_cI0h+HBYYAhGQ zO`O!5kx8;5%TTTG-i*vm@lB~$;k_AIh8LsDRpOmVF|dt&Ei0q|s@FW#{;l4aPlxDfo=i{lAFBnTrvV zW_$rT{Dv<`UjmOiZVZa9sX^ww5e)OFrKX0Taya6mvUaw%LEcWu9VQ+3@5;jcCLaa6 zt-@nE9_~+1Jj)Ls?hjf>t38P+n<5uEa*Q(96uHPjS6&lexf%Jx{ETieYn7};FMUt! z6?SV26I*B!E(HZJ4c9a0IlRSFy z@z;f>D->Qm+Eaum<{I_bNn^l2d_RRJWla37nrs zs*OS@VY!D8m7Znxl0E89LulShhkLs+&q`k`^F0iLRz)}*J zl?Mb7cZ`)fyueAKNfY@g$uoTp-*d(o_!qfYVr%3nTFBFM`SGt0jbB@cL7pBOe~M0^ zMlu%xdfH7^cZv-}+Ht2NWblsd)cQ=l#4GT1nK@TjxKEorj* zWbI0Jwq=f0q^%)Q6IoX5l%izzSx8!w7NRUY*eGI-w4D!p)i`*08rc+Q)ICmO;Zi`6 zb}qmV$$BO9b(+3tJDxIF$ivS;8Z4w6h`LiqN#UB{ zCgR}Di!)9GuSQKa+=Tb$#Zpd^O;V)rUc8veu`ThH3h%{=qnTu&GC&<}xgd%Are@== z_`HKCHSP!_phllL*OoRLgNDwi0s@cgnL0C}FdIdlG)FLg3(4I^Vb;h8ML4b=T)s!d zzDzwznxJa>_H?H?XZ)6X>jyw4%lZXVvWX}_5IgoGV)=>Ydwwx~*LUY~AaDSd03GVB zBgY2%QA?mExFNGGKVjhZb&{xpnUg>(StkLv@0R1p2k8hP$Bm2JW%Q#cP{VOWq7Flo zbNf~&F!5{}Eeg~^rH;JX$Z;#k*M_P$k;9J>-h0vLcfWh0NuCFS2zx$2kzE-49{<{|A*0eH`en})l$5q4aVNyzNbrYU6 z0+>1JTS=#IEC0EL_Lt6c+a=?c6iKB_8Uzu7u1%XaN2Q8bC3B>}G4&GquZU!5T#7`1 zSR3%>;5vB8xOeclxri9S)bqCjpF>`UDM9MO0{S{DlNZyuWG)|m89Z7pXW3L*)wV7a z=Uz6R8PP%uIi+^YI49>4jOsiJo*eiIT0x~%cyA3<1>u!I5v z2$ly=mL#iNt^#!=(Hr4xHvzgXAd@su_4K8r{9JgL z)2)$Ma2RtFXl2quH6LVgq{Y{)LMD-HM=5}*`ze*G`zI}qq@Jru2N1;;5Kz6*MgxM& zI)w5Gd%lmSxi=#vq7oR}4RCwLwi3Wv2(Qa#%d4X0$h9eFNLv`nYNXOoV=d%3LO-g= zS#GIq{jL_ph+r!T_z4G(1vyfONLFIb3s4T47i|`wO{DoE8!!yL+zUH+b_9f^?}8j@ zeDEpbH+;Cwp~qK_Mi!mfS^}*irf9b}J3CTSP72z~N(s<9He(AMJLrq33=+=SB4^wiRDaC?jZGUIA;7t@dGV)u`-j_w>J(wXfd(3-Olt8%5VFxppG5QQr4;z)|0 zGzD=qHkN?m?B+zj)Wvb#lZUI2T_pRO$fZ)d=g}zlumY2_d+4XBTi;4Ci4-)F!H)j=n>7&qCgiR|u#cswa0L{gABHOpbE;QR;+DJf9hT*0?!Msu~akBPaKGTdL?y zQ3~-iL9nBL&n#Mvb!At!0eH9x2A!9Jj`N2cc8)W#Nq1*|j$l@{nHEFI$OyG7A}>#M z(QiU!beuwvU{OZsHq}-8P_sfX!pRC?>oT3LBcFVDx4vChh5BAcENu0*|@sLCc5SYuPiSEPSIRX+V_Mz21Pex9Vw_a+9L0OT7tF^aZ>u3 zq61P~st9+a-hTEJYWAxeibp7vqKO%&;r5k}_<#sU+TfxusF8k+WT*#51<9!Wg7j7I z^Tci#SA8QLw~m;#73EYCY9m$~)RC>M09P8&5=lu;>Cig(bAnaWk*3_K@ZOw2Dp%0J z7@q|aPu&^=6V~vDEEXPP0|CqK>Ktc9s^+v>qs-?~mz65%-E3No5X`hP?~h zO`vs%h#~Z06zH2&(VESoB#d1%QBIXm<4j54_C+~*Ke=fY5V8Nol0jX6Bc`64B60c= z%2{$Oryn&<(pRIQ#HO7fER)xvq`0_mEr9CNeDXR>9#p1c`uc^w)Iz9yog94e`PoRd zE6X9^CtOFzI9}6SO_F~t5+7pkrZ>N}8z!1m$1^u+L!ebC7O0jY zWE8@V6KIVk+O}tRhhs#mTA;eqi>$uI)RW*Wp_fRBU5;803Mzw2&j$q5QqM;}#KC0t z2&kl9l^;GTgF+Dj>=c`zrQIDbg*L+jED5)g_m6cv7=OMNpE1l$K=rW6Iu^yECEO=b z8Qi!|YhX1})3ZoL+*i znpLFGC4LAhP)ly#8LL=zNu1-hs1{0G?JMM+kt<25!;$l({EQ@+AfQR{=ZTVbVGRWQ zM*Aef&xME&syKr{D^-lt9k--O+Xh*rJV?;~L|O{hD$fm)M%~c^X>KOnt`L%&Ot`vy=M0;pH|cF}aG8e3%=p`bqyCz*a3}?tcE~UXFXiq$ZtJ z?yuqR-Rm%TWiN+*f9qsL(&^v+KhoX>KF9ix|G#&!nT;8Ck49YBeT~Jige2TT2qCE? zIZY8Wj8t-ugi0F8doh|r%pvBG%`xP#B8^ni(nxYjXbDNb*ZVr$_j@0GfBk=tzsKY9 zzMk**=Y0Nru4~urYy}ZCC(qyQF7I&V}mzMuDn4_3%5 zLiyX<_?#kp>f6amyD8=u@iG}dz43R=&^ngE>4^5g{4=|D4mRwy@_Oz+q28q|>}?Es zG)|Thnw+}GO~m`LDbT{M^G!bg$GsI*F&)b<<@uDg;j?Bs?>ju<1sb(E>TTdjF4&vR zB49Eb!<%=bF`cs>@D=^b1HNzBe)0>1S75$-Bfb;n$%SP&jeG86at~;oY*_Z|wq5Q4ttSbVea2swf4K*=-XyW?S+-qF{EvFA zr<#_79NU5116qY**>~FZAe5f-@=XDB&dTE0U)Jcdv!Y>w2?@rMyw$vjuqEgI^NjLr?3Oo#hxW?V3-O^K?BvV#<0r=IH>AGx41^ zIF7OwOqSz3*iok8w63^jy(W8qhU*Gm`$y+dnRwX8s(Jh$uX9iHO%DHOf0MKLXY0}5 z+qJNWu=c~=xy~t77Wel5-vfl$oz$e9pKFFTv0e@F9^+jQA5g63M*?a5AMa0iAmV%F zG;COH{ecRZymz%vFY^xAJ=JloTfK(_5b9uQ?NK>+qrCC|3Y10wl~ zQlV`Z2Sg=aQMzc`17m{^zi|wu*=%_?k4JEvLOD2CkL9cc=Gk^RILKF+@@=~q9K7<$ z?lIf$9~&CuWf1v_(plSq9312;O4nCd-FBIQy0wNq?~z}Sfs%DUHxA~))zF7{zhvRx zG+WbppE%6aR@FGalZd}qSqF#yl^=HyK32J7rat&Kw@{;Y;Qg6}y`pkroF9)A{b0WS<2_RHy!3Fq-rW5o`w8(L zVG*blb7sAH$~0YKq3mDA-h)uBS9`o~@OYq{@$J3`bH!19nZ#Zy7vo+n?kPK9x6c_e zcUU7>i~0!o(jJ5u<~_k85U`o1^Zc#|UPdYT%7|%N&rcUZyvtYwl4~wJe&?@WhkB2) z;PSi0H+P)R{lr@P157gxSVQ8_1{hIS{0>8u^Yap@bTq|GsEYZy4UdXD*}+Wy&wjV0 z;CJ@^c#~;Ik(jdHB7UOeY_wT*o)w&uET$Vw_7}Z!j#^XGfCSX*bbcKr#Cr=HEs-U2 zu-uw}-STpN5EbFQy##`Pk0+0LHveZAYyMKJUEbADe^~7QE@Q`cFyGm|ods?4KO4v2 z2#a@v@h5oRJ^rm7?;E%I{qepr@U$KK#y}w0HwHF@Sir7^r-s&|wXTdzm&;i$R(~=w z^C_Z{zM!mi9PYiCPa7NQ_qmJCAagjSWZ~~S);km{a#2cQ-V7FjPGB~T;%_i;1ba^( zhe_#??={w6D>3O@t zNzGT{z1P*Y139Z%Z=qRZ$u`?AXEp1Alx06?+r_NrC2mO0Udy%zCN)eta?-eHJCL)Q zb<$!*aLcyKS?yLXO29sNow402fxxVWhZ20MZkJG|ZTC-V{9D;_)>~~mkh7Zgezu)M z+y1|1wL;tOpVgE}?V@dWCbddf2kG#&4b0BCRQgxw#uSN#3)( z__n8lrL6jc72J3>GEL?G>``*h25Yby)f5ZI&3sf7rR?q&vXYmsD69g$FZuSNwY$sa zmom_E|K;6`2F>(V5!dr!=SOP19r(;XbNLK9yZrJ#vlby6n_o9Nk{itK>Zy&sK_afB5RAfzH{KV_uAACL90$#0m1-w(Zc?2FcnO@U* zV-n(>!Xgl=nX^Lny6K2%U%?_Um7Df@51?eMKbY{o%gZXo{@Me2tM=Bq&U>0SDE6sx z;SHqLzv9BF0=Gf$M%HqJzsNVra!Y>-1!cYD;oZSYhrl6#nUrT*>aBZQVx_{ZMH3S=0o(Zix|%Q(^cRE6um2O0$QSXW#6J7AJp-3xj7Dc)AOvC%O7in7j zw%#4E?CG}MKTczm%zG2@+iS8c z+b)LbkzVVa4=aEi+kqUX&+!`6ZW23fyBw#j^I^+>fo*rjX&$DnXNi`*2uDtM8s|Rp z5fW9(`x%Rp`wn{CtJmlj7*bKS#n>YFt2QvC@=65DEX#tb4J=>K>I0+bEj%Mycdlly zvety=&HRySwAHs-XUh1VrY65eWyzG?kQk~imHmbB}wZPAA%w?#n@VR3$ik6}uA z&$3WLO?n7D_SiNU@MqR6Y)iC-@<$Jj6$(Oyq5_MSm9%Xza+Vfi}B_Z#^?yZfF#L%Z7Jq}$NQJvINq7wPzQe*^ zi*Dol_xV3=;s^O=Isa#W)wb}YwW}EOFrr_!5k(T>oyQ_DoSP&4SA5PH>D|Jj493dwlpDOUy5IfjVMG(|&0(ROyM%PYxI|N6z^{2Y4_fTe z&3ocC==t4(9CmP%>29k+@FP{``}1Qv>8%s2SCOqG6&$~_5{jU6n&`#jRwdl*gi^3h z8oe|4y-TGOHta|COM6$a2(0zZNn~1Qc%8Hle#&@@d6o^--}cUW-8Pq3I$aq(eg?Kj z{vQATGMHOOJ>HE=crfqg{*j+I1mkrPh``FN@DV*;Y^}^Kl7AO-$D_4d)10(4$H4Cg zq%!cj*Z;>I62BbDpIN{zgkJBWzYu1&BD{cCDa8GqRn(-npEI~Qz-_m`68YZl^j zUGXgC242yG{Z|%&@;B{=x}sv`%K}+z@2pt)vOxY?t70GPraur}Y(0AaX)S8c4%q+b zeM>jJMw@I*C04Z{_Zfab?gK@^%UQn#O)@ZEUw?zDK8gv~nvlHtZ_@Bb^(O9YUXcWQ z7qAG_k(r%?k75n9n&*fR?*}XbZN{`4-Strv)*BBzc68Sp$66DW_s@MCAX@w_zOh&K z$Ppfl?y{E;^?INjbGWaDc|TyGEIZSC=*fKof1-gXa7Rnmm@TDDdL|^u}KA3{|aaH5q z`V&6u49;?L@SGKd+z?yG(3ZW>wg)!EL0;>rkY&GU+vR@P`cpN_e#^Ftb(YU-y})KU zm~q}pfZPyU?^Id#OxrFOTGk8NmVLEt7Yi+~^-7v$-)7qb>#QC@UhCPj<=~+0KrXbb zzYwTdC&*z3)A&F8)O9D{mwZ%D z=JsRd8weiX6J$|x`;q(NQ+od(t>7>Eu8&?5AFs{5*+(C!Md#eY*H`!xv)-NlyMkL( z{dv81?wn_^h>tGv>o5E7(*Dkk=&uhir4>x2!#&(lls-uBqGeCZJuygM6XgHGZMlE> z8gANITA73tq@T?_kfcBGzfzEk6ioeJkrN_6o;&*meYU3INA{c)7}P&mH?%p^a;GQD zWR(AxWXw5By;AhneG2z{XOBo2o(}o}!&3k-JZHH{tPGZ&psCk@5^{&P}_jId~LW5sx!GeDqJK^a+6m!96-tx8{AfKvJ9e95#^`#bjnfd?(qR0F47^syz&ee(jL}m|<>5OW z=E3?8WAq*av-Wd1SYklftv3hvk%vR==e1@sc;B(pbcwLg9q^?TGo@C4^*i~Do#17A z7WV#m^&U!3)!n`ySXa(_j)nbV*=5{wJXWVg?yPSUq~*g&q&J-fPdg}>48G6i|Lj%J zM%+iHhn9G&cMsdEd4FWV->!(n8$Nt*2J|3@ghIH^8kt!XD3;>(QxJ~KN<;?K&d7}{ z3>d3-^rcUSE{s2Fh+!@S=V%Z5XFVYxyhbz&O2=Ha*8u zc6t%YEE8H+-k8I!8cU?M_yi0uV$nJ_yokQB({zxSTYkLWCP-WQIz9T5j;~=KrMF(v zM{9*e^v_HBedUXO_kTJr<9Gbduio94nTfBaLd>#nTxTaFKiF%5bQA|50>Q7g`zJouwgSCWJmN+;&MF~FrN&8bhB@OTU^k!P# za;lMrKjt{+)9=-enb6h#Zcj&^(T~@rsEUJpIE;1N&1MEdp+JZLQ4mYNZn_X^v2r8Y`Qf`f0MrmrF5A4{bc={p!TcHFA60hI9>!++3%82)9mutk!3ZO6KL-6up&Jw2qp-uD_^VUq@?S*N0V} zzLT?2B50~VRd2wldU~qfG4dFHu06~C!nya@cG@si@6l{NzFz6oxOE}_LEZ(7r5KS2 ztor@kHH!`Qu!5gBvqr<7)AYfmvhf+CcaGz;L0?VNjgI;Jb50T7Z&+|PFruF3`(pl& zx2SkAc?$oq+8q9m6&To;^8H5s&tApvoK4>8y0`g8{y~^9?*;xnDE=iBgtn7a1^geE z*+CcHreiqE|Jj}X`tP)5Iu5Fi9f7X2x6l-NDgIn>Q8rY0oELj2-Z>kkf^jaet_kw) zFzt6b^LH$(SM6bE6}(@u;QohRWrhZ4X5m%0|197#$6wj|8fU_OHGTS5xr^V>>jiPs z`QlCeqnK2!Ze1mPc1+hFtZcRDl7@_Qy*lS?Q@Z}DFQeF*_4%pa>Ny+^zWe9pr+zd1#9P{o zw;UU9X#*~?TFCS}#A+b`SS;_2T5&Py@99HJWn*$jbC0E*_mIn5 z`{>Yn`d!+^y>#w9z24nL=I`Y3_=e7dZ$ffQ;S`{4z)8codi%B)4`B!ljXYExEwY&J z@tMUhA?Dz}$Ztw{mok+0?v%QG2L8?sGI{h%+B8>xpwuyZ1Z?Chdd*7wTY7Rhp8f}U zNdG&0;}Haiul-?dLOt5+)u~g4-X?BN5rPWl9&YU={5^a+|DL1W!?(RlIT=_}9DEl# zd!2C<_q=veRwSp{iQTNv+D@!Zgg@kC<}XEBrEuMLqRiRT`4=Yb$kTCeEz&TZBG1me zo;Dk9>~5QdU!gSrlzS>G?S7v%hbF&|Ne3U4I`F=p%<_SG`V)!8AN_!dl1p6kW?ba# zBQ2%zgFxQtYw$~cDDwrZuO{FE7daKIm)9!RooT)e0F8)pl3-n=H#x$C@K-d2@ zq%Rie-E4<_b6;AhCkFAibwBz*Pi~uy7U@+qe916ok#6{kuJL!`u;byGE!NBsD|9^w_f>~QzEzgnzcO<@{ntFbt!EwLm zPWQBEDN6I~zZeSGkoNZ-G0;D3$oLmS!iQLd7yivHJ(`ApsMo8Uefv)D*&m{oitaS1 z3Fm_uC*f26xg#9aIghQ5^Ns)I9JR#9HjexBG#)+vuf37SV8{8b|8~yfvEzLD7K4ELzy4@7lXbWhNZ9F5d%HCT#qk59bXx?zn+dyY))N{RqXWdY2R}F z-tt9D{icIUDI{CpT=BQH7}Z+hX*^0s;b1?A~?H;00Kvy<>} zUJD@$%W67zyF$Xt;dxya`;Yv)M)+F%LWNKBc?%X%?>zm!5PbdFJAFRQ%G0ZLEynM! znx`c^M?@iq&Zka;cvXH2R`c`7-lO=6w3V6y+;J=7;^5!UG)an9&}? zofR!UJThxXAQW~I9-bDW1^Yrc?O6+R_wCSEX#*A_n0&h}A=x)7Y1^biwC~=f+`cxXZTaWd9;4i() zxV7Rxi#sd+bnYK!=}qT5v-FDDol|8P44= zBa141s_$(10Y(tbT-$m7;d}n+PMpk5$-+mKzv0u8x-DFIXC7#pDr3WBnY{1Mr-(iJ zT{Tu+b=7sbnqt&5Pclz{>$zObO#WsSwcn%HEYIHg><-)ToGco(M{i!q4AI`Qa9>7( zw!*28^}*IY#g5es)`>OS3JRSNH%MXRl5+SZBkX)}8p=QSh{nLfL?JuFUnsKRZGssA z?oY9Tx9~{GSq>pRv=+V`&F2C5@ihdL`_x`NNGr=DR~fXAMKox?9;=;wo!;25w=dJQ zN%N#3_covQ^?n^!EnKy8zusTx>N}2w`mgiU&%OCXtf!n`wPSE>G_@QY`-;>g`=MrO zPqo}`NwF0p998a+F|n@(`RROW>}O>hqAe3*JC`o_Q~QqAg~ScYec{#EmqPutfFV8#m;vevJo`G75DSL*bzLb)5bdcp^O?^)&wYtYs zR;xdlP9`D@)|5#ZIXcxfeK0M$$I~OijPKboL!V0?Fx*u%x4!48Q0u-;5(U*qz*$Kg zL6>r4h9*DvZ0hKtE?4Ox)T1r}8`i)RMv)CX6|}xXs8$0{A8qFlE1G=`JZ`P}bM!+4 zPd{zKa~x17TG$Y&nVH}z8(jEYl&crDN$_-|^9lG#@8-|rJKMI4h@=q%lLkNg;((Vj zo_AcKa0U$@J2;88E?4pMWJZ#VQ*)Oro2ij5F+jwwxL*^n^ZTh>IFL7*wb4}dBIdhk4+vhh707`aRWvV9WZcsQvM5^ zlA;Elj#Q9{?8Xk~Z1&UAhI2~#3@I8OD}fdf*9j!bsBT8@ZvML_R0 zgG(CR3mhs~PaQdOFm&ah>ozh~H>(@>ip^Ck@8^j1jp(O+2%;#KMtL zu1BHxG}z^ef|OiKa4zf?)83|@(OPl}-QUcU9;gq>2Nbob-K{Zb63S8R&1y} zeB_Hsqv5}36uFyw=2pHwD$4a7_(RQQCI(E8mWdlsDQk3;>uwmfL*55@eH1mm-}ACo zbqsxUzo&Cz)iIXGcJP=8yTkp!)dj!cw^O|qo`sdhrbglCpIolVkX0eerP6mTJhj8N zfh$=Y{D5bZ)@Ceif55W^zuKJpQcKUFVC~>5)TynfUt;|UQLe6V_**F}=aLhkcldYM zb(874ww|lCpLjLO^)Q(0p&Eq4HNjkhPrORMKIBR6`8C`<1^zO~<$4g(;UnNig1-Vc z7F?{j|I_b2_oH^6aiwd9B4Do4Phh~b=laL~7y4Qh7ROVmZ70vQ;M0J39R@hRP6V|St__w|R!8w43l?p_=kh2H46v-DIK^I9;d%B?gh*6n}GdQfcRbaK_QC7 z)l}hTU|9vt!7{-6!TtadUJJ$k09YotCD=)zkNf(ALc!b$ECXz<*xP_*xAQ9YwhBL_ zxNirpg?P}0eCA-&9txSl4q%z%hZXJ!mI>^naA&X#?-8&pu`Xb%jrpw6t}7I^tQ46E z>IQa-h)d*Au#B*~!aWrCJ;5>qkAY=^dO7ZW_Hg^S;-I$@z!M5T36=?Z3M>=cN8zWz zG68*U|30T5KLZ6$q5S>2ADAak$NsFs{lRkJ832|M33{~vI6n+6L6FA(oqyITM903JS-wr2(Vh6U;4y{Y9{hkhzJlj|a;PG5eYe2QNV(hhAoE&;1E_ z87u>2ZY%7sfbUWGe!&wIJJ{!v4qjCpFiZPH#m+44Je$e{FiU%yVo&4wUpkniIAE6c z$%>s>+Fw)b%+fwZu}@J`_`2eNSq3l_EE^FsuSuOYG7T)-0JE&J=?eQ;A?xrBuxw<^ zvXQ+BmJu+^2;KtAHpDF3&eGfVqBVA;=^?-eDK4h{@# zsDD}7MLfA0URkO9007DeP@mL)V7EF)l+5fE7R z0%qBUGQds-&H0}dG6C-k2R!>ROZz;qOaQY?zu7~*j5wJo| zx9gMum}LO#6+5%EZve~n0J98#Be)Ltf2RmpAsgYxU|EFBvIsYUWgRoiI?h$>%+j6* z_Q@h-g)G9&U}{J}=0?P=PWdysyvI>}G5q}DnCB!UCXpavH8NnW~j9{-40J98W zA6O=UStek=VrQ0iUp^GF1ej$JeFl~ZWR?m1T(L7t`vI^l0cMc_pX(qLG6Bpo0bhV+ zoin!@PvM+i#N0~omx`S^U`PEIKp~e@%yLO}7%X$ZEOOvF0+tzImKpd;@z3n{ z&;9=>6tbHz%Wm?u5&*M50Qmn#u`^5iw_sU?%uW@V^Zzj@WCECF0=`oMV0Ho+g9IE` z?99^sJy@0yvt2^g{9mX9z$^p!;a|87B6jNh1nhFf!z@=kKZ14Pz6fj$&G!6%5(+uc zoC3=f{{)r+oCeDx{TVE`-)F#b`u+thOZcqA&isGQQP}4J=M}!7@I{4xRrr#^zbSm# zX8-(uMR9NyEN8FZ!LkI3!7_(`fMtnYQ{4XvmL+uEavzxgZ$ME))X`0a{{q((_FG^% z^8F2#5!_bzAF!-4*JO5&{^vBJASh%GG_dqg3M}iev>8Caf3U(KivKc-J(TU-|D6Ps zg+k^q3@j5A&K`vOa*F%%;99~S0hT3N0bERObh4slOe^~;xlmKdjWdiGf zrTbWLZIK|4!Uk9-FizpRJ}6`a^}sTMc(APVdz1j`E8IZg1h6cDhTz&F!M;XN$OJT2 zJR~aid%?9u08JFW4=i)sRN-bN+2?8wg-pQxB^|h0faTc!09dXWTPpk@SPopRz_LxW z1_$Cp{kMTa7P%KJbI?`^;32Rq(stlLfOs0L*gGivFu1l;f{5SMQE|`-EO)n^!E)ev z1T1sZMd7ZB|85FDD%hO=yF(%Sc@Kqqg5`9~+{VcPBy%^xy}*?OKdx}^09*6_69I*J z{QeZUwFrQjpYS>(WnZwI-0I}!){(gn^Jb<~a2yh@+=4g<@ zgB4Cv_<68gQ4Ir|CF;!o!=aF?SmstD0nA+lCxc~zMk<`5@F<1HfO(PYpZ{6$h=^bu zSngJt+Y9@6uxymfGKVjLWfd^XDq!v?_y4azA(z?AauquPEEgQi^2CF=vq<1Xundn` zhQ}jsf}HNV0ZszT0GVZglfg29%rZP?8Qv6d9QS{xRkK0{_&Qhy$Seb7mH|!! z%LFpZ@TP-hc+5T-AS+~mZ-Qlj%rd~Yz%oE)86L9??`^OQ59})u;7lbzW*H!}3@}{@ zkXa^h7FZ^bS%x=@=YJXCyGnq}GQc@XfXp&LW*OdlV7V8Zt8fN4GFc=UU>V{2V3`AE z86mTba6VXu$1KCk1k3PztdIdR%K#UGWq`~wzz@JOKxP?U7Fd?RqLS=$EiS2WEm3%> z!pp#N_FMihEYAzF6+3glj{0YXoNQJo9+(3jTrOtmex>4`S-NMI?pI0oh~KqZD!?Bp zyhh=*V7bD{QFxu=emz*OAvb`XDmCZ-kD-wBKeId}Vs0k}s!d>7=Xr{MX6c_<`riz; zPh70|pB3`Rg;`EUTfs8I?O>VU9bow|ni+ph;U8I-Tw?xbMO(!|cfq@0m$Tca zU>PB^jBt*TWH z;EKXm75-h}Vuk-y_`1S3c>b3OxT!e!OW|7z-v-M?DzjXqGCw9tIOw&KLvzq;DF4=q z1G&*C1rKsr9t@VN+K_)?xyofbR=NHvX6`LG)CYwufwBsRDIBhFIfW}I9I0?cg?*J2 zMP-HEV7bm`mi?Sr_VZ}4EForDBFv&he6Ffc$o+i`SVmY4EVtLp^0=N^&K=Bh?x+rS zX1g&cdgj0a2K8S93Yp@X3hN5jQn)r)7j?=kCo5*TB4YNhu(g#M0}ea$ ze@jPUpZ`Coa4UsdgXJQ#4Omu5TX4Ln!iN-Y2j(jC&;P8Db=Dp%1MHyi!(h3fV3rG* zPGH%MndP|A8H_d&nE$&#AsbOwg}W*IsKVV9?xAo`g+{m+?xABRE?#l01NLg6PB zeoEm!3O}vzGvJ2Y|D6P|LXKq2awO{qmb2Zn3ik)gB4?IGJ^(DMY#`Vt6@#IWC6J`> z5QU#p_<4ngDm+Z#5w9upf3o6Wq{1l*k5YKF!ebO33zm(1EYJUKMHP&P1KH}C%suqGX=`+Xw}-tb#O!Cn-Ex;nx(NqVP0@ebW`i8w$Uv@LLMc zQ21?y-vP_{pSi^RKN|{JC(N=+-UG`LnX51`!pjxTR(OTNtH90*%AEgMAqSq1 zz_J%G%U-}N7Zf>Qnd5Z|uUB|OfUWs|V?be^|8D}z>6clahUbChi3W3`s3PVzf;WR@ zx834rd;VvI?8nTqA8!TA2$*FA+re@T$t+v_4zQfAnOk!Lo%x>?T}6s_f@Ofa!Lo=y zRd|oWdllZNa6XtDv48$&g>1#lfo|e*eGaZC638qkBj)zPegG`1kXcqCa~C=Pe*uNl zDtQg~C0KUL0)>x&Wr=*H@KJ@oR`?r}(f^$3_glrmF@?WZxKQCA6h5Kwj|!h+=Kk*_ z;3vhwX@!4Q_>975!Ez*JmLn;1cM;z?uupnmh4jEIJzN0GBEP8cuL@sM_&0^Gs`LNv zii2W>|4{gv!q>sFQ8LR$c@r#``G52LFT2^_a3K3FvzV=1%yR$!4_NM;m>&{F95kim zT%jpk+6RSf^`*gbC}x&xK<1}J1R-EKSuxAWsw`MmS(w7Ua79s0;qnScDqK zm@#tsyY1bI`x;=p@b5RRrr9z&ivofQ8@d5gzXcv|7U3ikucHo)9Q zln`?_!Otr02Tno#%K!$$fm|jJ0n2X1Ea!J-IlnVMCL(+eEQjKuU^#aTor3b0byTMC+G$|fXqjcBWp)~^Isd-_g=_~8o4$ZT`GJ(u8fy`C{?fHMU;(=LuV3s+07c9Ho z9EINl2O62n#Vp$xv$@@O=Kr}$0L<+~i7@vRoB@`T3A3EtnB`nDA1q670hlx3pZ^y^ zA^R<}?6=IK-?}~k%SOg58yU0H$i^U|EU-)fGpaB!|Fc5Y;bO2{Kr98zI{i@LWnj4o zWtJ-0t#6I%(4WSWeKbV%LFmYHp492%xbV~Gi$(&x&J!}V1;ZX zYr!%H%rXbej|=}fiu-k7IdU?~Bb^OkpUg2UWR96-jyHm3fXp($j}<$!v~L2-63FBE z-w-+23qyB#^p}>dG6h5i&DTPmihrEqD5gB30VmP0XfdyxR<#{`FgtrE58|8T#;djG$i z!sQi?P&g7S+W@m{1CW6z&BrVwWR?-u0LvUR%N*-q8D1^0T+%Tk!GZa|4itfNIUL6*>`~ZII8NcZ z3fEIO-emMYXZpQIaZq3324J}_NKm+;!i^MetZ*VT_kSk=_bLwV1IvEjRN-a{H&^(6 zg=MOXmIO*0~fQoRkBCM zRIpqDG0R2iSa727&nzNzF$dy9{f~n}=9sy?2w*%|2KW+K?(LXmf?om4jmQLrUzLpb zT@$4O%xyvjkf!h?g(oZgn!-~QejQxj&Y(H}PZbKD|EDQDUEw#uve&$&@C=3DR(NKB zt@;0*fWn;r(-oc#mWxPcxn`WB*yn=fYS37dJ?o=Cvq1q^&BbVd+kJ&D+C_@OTGbjJUQe;_JXYbBR=g6 z`3xkVbi4-1Tc!PwI^-{q+y-tymV&%x%YSUC6-9B0_*@NfQyLELg=B|KZEgWs9{ez5 zIAl-ADv(1VHOLnr+22UWAjlNRFvyo}`vjY(LUOoo*!s61+5HStqT9TUn_wtrLvny~ zAvuB!(*ZsjXY+iUGa)&^4{ZG+TQ0HXhmah>GMlq)xzd)aZTm;eJZ-MUO*P2RA@73x z2{Ib;1|%0@_{k_&Wyl(kQILs{Zpeor?}i*~%Sn)2LK%?kZZ#yk+hz0DkR0v>NDl8d zq>pbZp0XSokj(c(R)y?g^I%)PXzSmB~fqPy4Lax&w-uF#KT4TaY!tF+W=#8bNY^?QMPQo3X%R>bB_;koBeBgX}4*28XZ#I z;cnwpskZg|pq7!k-3Wktyp!s-(DTgllr2+i>7;&|&41jWVYQ4y|C#tNpSE%zS;?wk zO8?dql{=xf(Xvcp=pZYuACU$gwVX86gq~ZX6K6ARrJ@-m8qjF>I*kTG9Y8cw-#gs7AXsX35p&>(!M6Kr%nm5!)(8e#J&xacE zTJ{pU23h{-61S@k0&Y8COwwSwGTf-9xt3DrVQ^A+DUBP3pn5H(g~JfUgr#(Z^*KxF zHp{}L6#oKb=!evsWs?tSGRyuS(i)aCKBVI;^FE}I;gF|4q(n#>KEep4!NZMMt>rR$ zlP%9LqfNt&gwQ$5+~(Sux{fevmU8V`MiCZ8 z1G~_=kw#5za5k+;HjJ_}pyq{77Ya%->XhFIti{-U!006EF#^SdUyzRnQ16i_ zo+c}3B6Hsrv=Ur?`U)$Ao^3}D7(}y@;U#AU-Gr0!$6(^ndImx(y^^}6z<2$X^l}P9 zdvYZ$W=>m4hghy&NjFoFqhl+n#wf!Gy|vN`oqWTL>RRk78U}6oj;pK=^br5nlvRr< zt7yw8RCvZJI?pnH6~&B3;a!JQ9cgGke2kem48Zzo8U|Cjj;pP5ZJ#`Lgk4%Gt7!}S z%7BTBrNh06Y`H6ZClp+=9hx>V724_56h8(oLqDXchxdYkGvCPx zA`J=-qLUNE(vRpof(XlpL1)7d4k0G&GMM)RokmdWamL-HTv2PNUn&Z#Ny6`mA%9xRI@B3H0o+Q*HNYMMnc48I5rSoPyWTR$LO~g zjan64`uY;en&XXH5w7k~dQ6w8V@F$MkhY#aACClQ!^9bV3_qS_4G%F|!Fq~$3AXF7 z@xt;k{DfHYb7oCx`UV;XTX@F}Rsr-HJ=AOj;VDpXVfK0{#c6eOHqeQeP|n+I)8lqC z2rF_F$uwzW%;X2RR zNHnjL@iiW&7~nM+vU=1NIEzX^|I$x zjCGm0be=8wxfDGSV_k8s+dP1vMQJ?N#pY4+L?f|$mpr$*DR^k)@R7-;O-sw8LlaS` zt6}0M%)?%HpK-T#G>>Zd5ag{q>gU9@)AX%0Y!a%V<5sJ$StH;&u7cF9v;!tB zb1R*PPc45dRhkUGyp>w9tiFwgO-A*1+(t7eV+0(&%?g=*e8sq%&nf0?qZ2TMZ-ar$ z=b-^(sLeE#&*^QH@ESrax1D;whW5~8J56Odcsp%+4I|Q=?be9ILm@oW2-;3zQ!tF2 zg`NX4$3ZSgEpi9-fu(Ho9ah{OXwh59RsS8d66)~jP;*!4Ff^G)O-0N(JLo115yu?E z*yKT}Ln&vXQJojOpHR2g5nBCEEN`7?-4uk@>l0e|x=}l90@UWXIE1p^FmxVwKA}tO z;UFwJ{KEs6T=6H=Vk%0g>P{L0S+3nqdxT9MIo9q9DLZM)R3sz=CVTiBIv5k#M2tK; zcT(6i*v`VnjiXa?YSQQ-RNzCOi`+&1U<+@#%Ss{-f@aPJ@1iv@gw23~1H=$mYqC*| z>tW+Ax;+iaDcnWz)8Qm^x0PCqf{v3$yXj?^BA&EO_CUzBRmzpNn+{Ef|JA$cCi_1I zM;t}>v3~!qPpR`8XwP*&wUT9xgxu@3?w`^;n6&Yq((X4<$yuM$Rmg|}IOT&rdmQ}o z4IT$wdrBz(fil9?2uf?jy=4=R?i;?TvxV>b{rvQi~ZF zjjHaWS>(8~f=9WaxIbBPJS|Zs^+S{gg1%XiELxF-iwDq?0p^8uaRH!>zT;r-d_(R@(f0 zIx*8|5xgzm?P@}cW*W69@?9f@+Pz~`53c?hCTG7gnBIEFcq8}|DC4QtEF`FYx=}r- zn0m}I?xKO|MnbUnbBsE4GTm^~%5)7_@U4M&k4@=)}8l{ROl&>Eyed$T`plAEKUfkibTVIQ(66kidC!Fz}2y zL_6jfEwr_V=qBviu|pL99=O_!%08`H_S*eH4_-KbK^)$vS$I`%$;wJXNU95@W2^;Rl+x1bs982hRF}CFn7~ zFEQ$A2Y;X%OSvaOUyrUVL?eHGsZlkw(FwQtFpd_1C~K*a8oC=QK8K`Tr#)4v$%jV$ z(1;&xSEefMLqiYk0~IgADQK2at*k2z$a{bGRGEJK(6}%7C{$HwQW&B-w9JUcvx;J9 zIM+PE_E>0CL063}qG8K$sE|^GGX)B|;BlLdgXe(bEWQoT+MXhcUe3!T=y_14g5@Zk zfvkGqq}zP_W~tUJH+E~rDH@%P)zg4e)=33c`B-1?T4huXIz^vnW5&sbg(o?C#zAVL zwSrR=y#kG^_!PBTf#J3KPc)2W$De5K3S*$Q=_k4gd&IGytl{#pq~S?}P<833iqlqb zJt=4vl=q;4E0%!U>`a|vzgyILr0i*o}sXhkkH~Y)Rd+E z3k_u1*UttwW2r zvc{+r{290wEm~t#p+;+s*x<6~{G75D+30kRX0AngCY_^0EO(uwh#bhE^VE%{7su*3 z2zSzX4%ZB)CjGV3dGG?otVeeW zzev3ygCD+#Vxq(A(GOOv$C7mNMLNXx9TzEV1N>jVNUb&?yk@`BIRh8_rFs7M###SXduf+F44S=u)lVR4uQ+&Ub0rD*!1r!J%D#vuca_$H!Ks{RM`bmp!S!(V>rxe%k5ZC}9&4U+yyX*@QOG_cE;k2hX^S z^)ekUk2Y|5lTlU6yG&uZ(4U3A4o%ACPM>Qu(CS{HiMc4eu~%qEt}#0_=&HRz7?}qr z$$3VH;1sB;TL+gofGkY~c}CUXIZ)O!m2)?vjPtKjjm=!wSE<`(qnp;@cUrjF_$IhU zF?tR4*o?5=*AJzdD@8<8> zY}C>k{XrAABKW?4(3-8r%i8fjD1IBN=d45ph0 z5Yw?>ia!V$8bWgU4;mdpd?C@8AZcMmw5wka8g)YULs83CH2A`JEcCuI(dKy( zWkq6Xvj8e>Ng2BO1qPtgWvJ;Pqit~A&}htN>keVS3jG!X`;(!x<`5R%GoUvo@a~n3 zs&l_ICTK=kn)oG}THmtK=$>~MAS+*dX*{Ckm!+5jV@PO1nC)W`o3aXwmfGwvI#GZW zZwsS{!)PxT!>Gk!W1==JoVFZ>J|mpYA2xbx*TSjo5y&p(XdcU~a&+|wC$bzh{fZM= z-c00I{zR6iHD7Tep*Is*&<3WoZ;fy&a}-MrBZ4L#<)lWKN&T9WdX$qIK`~z&!$K1( zM4NB7(Uk&p?#UIo!ifN0C zyR_>jG!3p_DcaSDdK|+FY40KE2UnsU$BgsAy(@DuO!^)P`K`zZrhVUGa9#*yT}xT^ zxY02947eVRI*vi)#JAAbqLU|#yQ%Gu7*m?wMH7EC1_sZ*D;jgyq#uoHbBm1OT8$V=E<#Ir zIwsm$6Xx856?^)3M%A!1sJQVA9YHzYAp^xl*oU2tq4<-SZ$qn5zmvw0;FM}yngdS4 z{^LoEEJv$R{3#wLt5dgADAoGaqp|MoaSB}!$*mgPKfnd2(CjY%gq^DCAj%xm(Y^Dl zQ;naH1NYt0E}d%qgiS`;Pew}cQgChNXo|Rm!F~hZ#ho^~2H#sF+EvxEymK1!@9-M5 z<1`}AguXtOwQv{yvvF5&0r(zz^=IsgAO6{Btm!rB<)4kIAuDUb9VVb}F^|+ZgE6hD zPRVDCVcJBUj-SCMAWyd&=%ioJ?wkK&q-!tNqR)T95;nV*-Tnuh#j>FCS))PdMX1bP z2US(-f7a-(4XI7*&tkm$v^G^bhiNIS4)r;QT4`U0=CYhrhmM@XieY;ls&pRm?>f}; zJoFF7(oE);V(Ade&9M}50rF-nb-Mt23lF_{0RzD}4;{LIK{($-F&AMEF{sZ)B)o%R zr*2Y0Y!!+;Ww=9Aq2d?H$W(Eg;%C&^(3%Y$+Nz|ZszQr|%Bmc8ST)XeSTP#KI`dcT z)qqPlVYtpSV zIN>OWr-UoU?urZV!5DzVSVv$31|<H3qkpC*(&yJ<-=jPCl&xJR3JA6@zjefI?PahAT*E#raUCQaGD>2AR-xEt4$KEH)Cw~wIbC2Q}b z(Ju`rUgBs4O)2JYtPWF~<*xY~C%K8Unn#;&LA(ozB)Z6!^C9_ZaQZ~+sqi8&KVCjL zIrsK$V@r_l^D9xV!?=F@m+NQfe!+DP*9Ba+{~s@Y<&Iys<5%r2;Vq#MJQgmCi(hWy zx9h^M;{7jvt*#uD<#9#e;@9UYKt|%Kh>KsBs{~mYR}EZoxEkPUhN}&(wz&AMnNGO) z%?5ta#!t2wR*aq&a&&cEk&)8gg@`KHx%yDryqySg-XyY71sn~pke*P$lo&SBodzuF<#(ld!~xo8*CRSG#6zSLyrRuHysTuEn^9;mYpscBSCbp??xrOI)X$ zyInbPZr40q0};p3X3?%|_1&%?aeaxaD#FY6y75_y-fmZ4ToZ7u##L^p+tn0TZ(LJx zy)e@4I*7!rsOEM(Qr+#Et|M{;_7kq*xNnUFZ@_f{+^qo;($MXC8ScMm;dY(FmEF?q zx`K=)wuWEGOk6A5xm^n%LV`Q`kfTo61tLWk?{T{#JG))|aqWN9?V8!q?K*-4J@SOx z^(U@01k@4kj6QDHaNJ)-gr&iIQ7S=$kO-904d@m;k7HfvZwzz0)(=O7$bgQ^g|6{? z3SO6jjKKBK7{qlK{_BSnrQ*^@xm`)AC@K_9!K*YeIBuRcy*0&z#I>#DY7=e#ZN>D~ z(XQvvzalkS*D?-EvXHpD+0n9)xVe>Pz!4ii#QRERA!oFqZe`*I#x(Ipo9EL#An!Bv zmb-~Tw60QIN7}h6t|o2$IWCgAwT!zPDXrKx+I(571|;uZv%S%DyoIM$*ppE3TQ}KW zS{NF)MLXS=`jm~^q~R5#N?~zlw2|%TW>{RZR@9D$g~z?ArL?E?_HnIZQrbtmo`%1* zcFG*yU}xj)4sq^8N7=B9%Y4_&;k6iWcxrQagP&t^D#X|3J3<63Bi4^wlp3wX0U2&f2z1Jt}Wew>)IJm_eZEafpcmdek{oP3x8+n_ z9|Xw>7|ha2G)Go$=*vl?5g{z;k5H{jaS2*v7wSdLP907NIU+g=u z?~Cl-;(pNaIt~6;REnFZWptr>mE&rMIVq{yl^(AgXH;t0)tZGJ33qS9otD~_)>V$H zVPwG0uOYR6w390j_h<{QF5bHJTIhH>W%K^7BvGj zPJw4fzKVN(jD*KdNp(3y1epy#{Dxf)B+rJ9+gZ48A$T_K*{@??hI?)s4~ExtS6bkXYY{U8dUm%6lIv-S z&9GmAyO<&{uPhw zX8(V~J-5oC$zzg6r*cK5j(j00S#R`M$#5P2dGOEiBR!MuLMNa17+QGAa_Q-Tp!olaGYo8(>%Esv6pEC7$DZPnv+ z%BUV!C9H36tI16MKWyC(eAn~-|NnPuF&S2-k~r^bdc9?3GETCxGE9mg3B#&Yi&ayL z5bqFY$7hH$ULg!QhH-`{gkczV2s;dmVHk$cIVZ&V-X8DQ{kdM=zn{x_pV#&Nd_G@) z-mmxT^?d!=>-}!J|Kt#F^4waoy_Gi@+FQ5oW=ZAD$~n!)BO4SddI|f?7+dQxsG~UHLl#f-NZ6e z{su4GjBNQ_n^)8cG;3VfwoPqa{)jw`*D0*J)ESB8oY1pAiATJm(sPE}7_x2we;q4r)n7Me#oO3GaPps=KqUj<=E9PaJdeIF3hyA<( zC%JXip;>*dNwTR-vqffCM;rOl3RJ6H_=nLl~`<9XEB6Zm6z*$&~d zZTz-1*qYd6V~2*Wjdcir=C%Kl*|Dv(u}{*iko_xyHI;To@Ja3{UsQ1fefbV?eZ_!?iacIE4J0m^rjB=_UoT_=70zfJVe~yN%^Hiz0s50$5BrGKGgq( zp{TgBo%q3sx#>4h z9{yaOBir%a)jvCxv)y_PKF9BAe{|6P`7F+R81IKH+di~I^-bXU?a5)Ft26jk^zqjX& zNJ*RNPxQQD@z~5@nOwWeXmdnpJUge#3+0FKv`~H+TZiGszZKgC;_@9yxt46ps(SxZ z&wJ^N78b(xhIbj-qkf+>6xxu{I>z_Qpdpl)R+w5R-$|wzn zN6h7-k_jBg&^DDb7+N=VKkat%ANh@YMs3;NZ7Heq{H3G3V^XT-`Ol1EEw7#De?H1P z`Iwe@!L<^PD$iLwfA-As=%NdFdaZmBr|QwN;7u)3N2hzIwyMJ{K~TE{L*Mi zNhFVY`(#fp^DiCk4enD)&h(?#W*@r8i3?}X3C;>uP?+cU+s!+||6i_`<_|x}8eGm2~*!X81?2YJSGl}?bedHbEzj3g4@TBtd1|*KF53R!S~u?eLHDu+nz0KEz`CCwo+!}SZ|Pa zzCY^E-iZBN-Ej*`=PZjZT3Q)hG&eeH(b9#bOCova`{)0e+Y48n@9#F&yR85A^H~9l z!!Q5#vEJhTD=1s_hnGL}aBuORsZ02X|M>DJPWO)TKWg;`-aO7*a>kd`p3Bml&$_l{ zba@Hc`fZn&CS~iA?A^Nh6O-yEr}&>-!8IH+8a-xRWzgpglNRp`kpDY}?Da zN`L7Q+>R(+%FXaleJ=Z=Efn?tJi@!9zjr}!YMsl_?te5c^)JoiqO_cBeFypeJnxBN zZREM+u}t3<+U31jXgk=nUEp6no{QRw3;kXbxU;};>ICnCv3bja8!!*hXCw~B%J-11 zPaMJL>uxtRQ#Y~W2W~gKo8q6J%cec?NOp;_7y0)d={=m%xYa*3-}~2?=Pw?R`1OzP z+0^Z=hx6!A{)zf%BKyS?y|d#*mvGyRJeE~3mZ8f>kZqT7xsYsc$>rn7wn|-|Otx;; z_7&JX2vWahhPPlFdIn4W0hPnpEN?nWHdC%79_bcw%uk~g8J{5INB#rW&H zCUGY-CGE1ry{CPbT^8JkIiC;ZFdDdgC?Cp5|NNaip6}b0+dhNhiF;H12gUz*Z;JAc zqrDUQwo*Qx@yTP4@px)9?w23q9X7_bceklrcDJcqcDJb*&u&xM_(P86TGiFJ_OV-d zTo@L5yZaX$>t$Mt>SMjbc5y8>`;C`-2lXsqI$MYBN;FF^^d?#JqC)Smy5SvN!AH1;Gg*(e`KGbv&S7{uYswDCE)OKz?&9*@bh3|3 z_Kx-6_{ro;?yzkD+5tzxC$FxfjfWzEXXT{=wt+ zPfV$w|JBLfUMb6~Z$8EQVp0{oo~^-8c-f-6+=p$^c5IjTCEHsFXWN^qmiV!0-lK8X z`@KY&y+xNdW4k#R&h{2v{u+-85AJ=_1;WbbC>_nbZ@`GwX1@S zA;xeXBRs+9Wgl5=mH+v4wlao$pGFtUaL#Goq`t*B1b>|*KXRHkeV7~Xa}Jxflel~i zxgeO%0W;XCXup7APy1}@?UQotkK^KUXwd$x8Qgr%8b_iE~0Pi7E6P)%;ojC2N!q@=^+Vmso6w+x#`ZMIX z5Xq)6riSi~vB0OE!I|Qe8voKWy!}$jYy8_8POqk&tr$1qsP6Hs)A&y?w7u0Wgw4=R zvsG=}N}9{bmp;sXXL;ex7W{d!|3M*c&t6O}CIwA((E^?gX+Ins1zZL@58o za&V}*x7Zup^L`5G-k60=|E*$fi!$8hEUs}P{vS8)2l-U(;OXZ7FxfUUm;XVwLzBx5 zN%fB=<;Th4<$sTCj~{6K0TC<6-%$|xh&bTA@21yE4!?o+q})y3hxzx6@LvjshbHh_(g=I8+9!tUhmmdbbu&DeY@19t zhwHPc53j*d6B0gMo-4Q>ax_p&=Zza6dI zZeX8mj5c<(IpLs*kzCA%mH5rq{96C4x!%Z~r%*PGGrV{o@0OIh+Ticc(Yu1bKi5$? zj}iXx_h%aM_viWker4Wn!|G{iE#~mD)#~zGd}t#3Q_H;3eGBdm9?Yx1%fG738xdbl zw$<+1&&PD5J^3PR+nKAs7~AQ+%a>s56J1`8!{c9?lpiG9cBl5cM*6c-{*);251r3N zfA&4W-^SboccOAkVc>LbrD*Xc5Wbty@R$e$?O}3Tm>Z`DAZ!TYk z?Za?+SyKLj9B%(CnROTM8Q}#Atb214cqnMllOHBqU+?PAz;*<4`5tULsmtqec!4d4 z>$6xf`&%#KMzDgs&;(8<+nKhjw``lU%jb}-n{#>g|4+95+ttrYsxPz5{CgUdCpEAe z^WhmRBZt?(rDWUu+zhJ7;df|xT&VsUvK=T~d&?7#3>7?>Gy%)u2|ScE0n0}Q+uR)s zy?&Fj>w;}*A=9)4ciFZT+m>9u7Dq$*dTehbyUzb%p?ATUE~ZmPevFr`ahDHde&Jk6 zwtl~>Zv8Ebyf=Ce`?5Y5@s-rztkLDKxDMQphF{kEe_g_%n&Fcge#Nk7ycapt(ecqP zn9eC2NUTGk{o$1yvKcO|^hUC-Y3O`jsU^L{ri^&Z{qW%-mEj) zX?IGf-9*}j$D2&H?bp4@>&W&gxqLI(&I??Alzd1cufOX8Z(OgG(;x9SU+7(&()x&h z`ZDk2c+sN+5*J#nj|_;MM?<>=wi&u?SC01ax_lb>fKay0E!_Trr1rm(_YbwVzjcJ# z?;6>c0y}+VfeyWh3!3c5{If3d&ge7cu>p~jg6(+l#olfyb&vT6T7~ z?abxtu+7NjW^Dbu%U#?Ysqtp|6E5-krL?T`Pr8JgNrjIONNf}2`!Dg%=vzyk%t++j zmU}n$AM!+S+DLz&nAwmg{7061BX_T(e8|M{gHgto2sFTKp$Z}<8q2Sj$f{QEDX{l*OgB0FCGZc3|{SN)Thdl!srpx(|rT>a7P_tu5Gydo)IwNtR4GX42iF#VE^ z0}}hGYyTMan*RG&co)YvQE$st!fPZim(RtcLwPB-{>Ih+729&S{5{SI z+zdZVYVc#y1o$Gr(xL>$3~}e7isS`$})`c=fXbB9$z{5sYcwmCM8V z%xqD^*{%*;9!b3&^}^ZCu3f&Hdh5nq-bNlC$~*HJGyOe#8tg)WeR$Q+`uAVuUD3bx zIUa){+lLtC#cxN9zuFre&ut8zM|1UevW{)RT^_?KvC~!+X!4f zl6vc{TrT|M_{T?%qhS9~1Iz4hJtIse+g9f$@G=W%Yr%IN0To6KGj|3iU&60X5cG_V7N%R49K zKICxw^rUQ8RN?wP$>9aaBYHmNd^5K*R?SoAri)@<=dwC%Nh6CAAw* z-aqs{k4UONhOFg!|5|SEZ+gYw?K)0h)0_R{uH*JxVY9#VI{uJe+U(zQ9k=_dnu9yN zw>SHrU&q}Ams^|teXqCiUiDAEo_2+=26vE)U-j?3-aDpG4LM&I&a1qA!cJ$*Hdoh%BW3W?uF|xqK(K56a~yu$>0GY$p@p_L=0My}z01&T7?kFQShb z$apTNv1<$q+8rA0!_T%Jt*}6WM>}M*fVjuEpPcBe#88T7u)KyA9^X zZ=$_*w!!T){#5bLKmMu0wRbmq-O$|;bM~HZyLk%7xPYC6P zr22JaTXAlJk0<5zT36x_Bcox`)mv4TjXQ}1l)LZ0KJJKU=5 z8qS)(aOUERM!D)p`WwN+3GNRy++RAn#v3sqm-2LGkk61S*GM5lo8gHJS(%YzCLTAX z64S}{{NcyVqxE(F{u=L!Nww6UPyK8f*=bie+i91}=Tbi+DcfmR?Hm4ro4g6}(l>*L zgI)VJ+S^v=@?Th>aBd-or(evm|SV4G%HDo)d zW&w}Bnf}X7Xgq7m`Wct!kQ1kEZ}_*|>|H%<)usUvJD>lBmu=22Z^yPduiE5K^m(w| zmD}Gp?Txv72>Gy3KAvnx99RF>qht~r?QOTKs5oQ-buu{eMh5)vfg;oMU``oDxbMz z$($vzTFPt-wui;fWa#$kb(Gr{d={@3%zN&sYhUgEe2X`%sEcxYV{Tr9StdHvo_rYD zHUL-Oo~WPLQTJhF*Lw#}GsFJnitHRJLPw6S;M@{LLP zZ%O%aaxB#TY4Wg8evWM0yPIBPkWc9;crB^HCUSTI-X@O?O~7)v{^Oo{|GC>Z9=86= z|MfPGhiUHy-+meSu7B(ro)eiy9>H`je%F6aKUS!34_O+VDgR3c+&B^7N!! zO13V|wZAc`{%=XSCdj=R!9V_PE~!h}g9Wkv(`H`M?tgqYUz!=P*}w80?s=`=JRq{; za@$w(EvL?!Qy!@kH|qLz1fSuLj5nN@%hzg)ciGMiZI5x;p0E$+ zeWVhjP4<34KO^b(L;{|0CO*aoMiiZ3A-IF0{k-8A$t41SwnVy8dl0j_QM^|Oixc#35O<#uxPw@$zI z0iGIZ==9rc*wyK0JjmsH^z-1$c_nN4RWu$pE+!8P4!<8g=w+l-eeQ30&^s*dmM86t z;96;4hSu9v(S8BLM|s(@ySx$Gd|iG9Tc7XpOE?V>kXk=pBKiSBS@72q{ zx86HGt?o_IwYT+3Z1W?RR?Z!3TYKG?!G3fUqgc1#vh_vQU)FuO{)&gZuX~O8=BwZ` z;0K26Q;{^D=;7EL*-Ex$|Ba#L)USi#u3xXe;~zYUeezQj^rfPSp^f+oL%Y8Dh@tJ7 zUo+f`p&Rjks^68NeMkeo3C=GL(y;KG^(l{d=k|)b39sx9W^f%tTLL$uo5(hSdo+B6 zVSnR%b!^isYJ-l1FIUCGq1|K?F||6Y?Cz6(z5 z-CW)JSx31|{V5IIRNPc|%zgdI>$uKN;R65aC%o5ER&82;@_L?6>07up_$7^s57xi& zBx|y7#lM3qw&Nc0zkZ6dy;^cXu(_>yn$4}-e#(sJT^au8Y441br~kA5mgo=&yM9{7l_1uU=F&5&( zKkNM*pYaplm*!Q%YaXw1UQ2l`=XDjY8eVtuYT)%Ouh)2ez^jYbFTDElzV_p_D@(x1 zQDRAKP1(z~^34D8{T5yxuLF4<%(H6i5Php2MJwn7~ z_Y`*5FTqpT%YOaiQ`j!Mr?6diPhq?4p2Bw7J%#PEdkWiS_Y}6vJx^h~0{0a5vR{Lz zuwA)(3Y+r8Q`oNjHr|wVk(3|v0v~qjZ|m=V!MmVWO4;whF9Edu9{dtO+5duH0x0|6 zAD^~%`47JY;0oO%)-Jn8tX+1GSTpg&F9Eo6_e%gQ#E6%@F}*waAx$1wJ}D)mm;dm~ z-pk|G^&0qx2Y+3*F3+xeU4Akt|9>9*?OmEO(C>8$U!pyt*}J{}pxy%`u|K^0ubcTE z#Tv@$|M2n`zRLF~qNxKTIe&cZ_#TBn^)+vg)7Mfxhs7AdYPQofm)|4XnMpLtL8;Hc z#1SUS4U4hzNXiSGDKC->C@(hK_hgFsuEtKiBV~Q~oj1M<-$r>B(=20n4#V}ltXFmU zF>FVE`_Gkqg6$~bvU}9o)tN$WjhT_^wbLy--J7F z{=(96QR}&@DYu?_HAAKusaQN`?)-8dh#eP=)Kg|Vva3selD3(8>x5msdlJSyN!vxe z9SW)UPigUn_D$P)VB(#w-pRkL#oI5QOCA)Oz|8WBvYE5yRQ5cJOIM#+A?Fk~ca#xaMWqBde(oFWiDT3l=TDD7thBzcys`8+INT|3d?Np0|yW z?4;tD=(xU}@)sJ~NHge(?7wX)b2E=7R_h#lOgNI67Od%P9K$v}H{Ph=8{5ip&Sq#} zt9?8}>$P1&m)++%g+_J=ciH~VWQ*dmUGRmoUGND7|$oLbY5NA9A)ZZNwUt|adt%2$zxhw|0rXeiq`ak%{zN$u_5 zI14X;{rhG+7J&6#LqT{A+?bS~Ov-$@?GNqOTTb*j{yF1#c5+qUfr&4Oy~IM=8*_Ox zwmv4DhlTRj*w&=0|1v3eCgo3(@;hYS=qWuDXr`c3)`wQRV+W=W)+vwdm_App}C@&y~ z>+O%J_G!EJmaThoc^ldGhj6wl9+!WnKD3hK5&LUyc!HM06Z|Qu-g3D9+oXES;rj2$ z;RUk1V}9)3_I>Km5=Gqi0NoP1?*Y1Of8O3L^p4IaTesrs-S-S#cHc8}c{T0rVkMES zd%2B*p{_vLws$vzJtSc}L^zKL<-dg|Ncm0V@EW*2seTpN)A$q4HBexEmYYFK(g+`r z^FsCZ5QZIYR`vB?c!z`ciroi>Rw}Q`(r`mZF2CL``5Mdu<4XNf|D-mx$TU=<$h#a6XBc_%DYl;JE*I- zGl=1#?7sc!>PIEjk0B4WKP$Tig%sFnPB>2tB{@93tCQ;O zCjX!8&&qBB_Uxr~87|w8C)lcV*>36Nhw?4tBSZNPvTX{k{XOLH8nSFVhpS&p9yBLZ zP-_LDd{j#eerS109bd$RxCyF5Ex-)~^z_~{m41NC+yIb{!j%zHdNvxWL- zX#RFjDyR=Ok(YX=7v$H-c93w>vwK$I{2tkMPFHVtjqUjD@@8^qlZ!__p}@Ku*WhDv zc!k()=4hzix~K3q_X9aR1AF=MdeL)|8=GnnlT~qzPzs~{B)m%)=@CUzMk)xsUZzWG4&s;dWY|&!A?a!6L;>xIhS+0gb#T!MNO*b@`%8ef0FT{`#9hf{6` zG?yol!!tgL91Yb^Cx<_D`x9z-i#>}R-lfkWhkMzXWY(mA=ZD^2eToJQt8J56k(-ll77 zW(%fM>ACL8OY^69@OAR^eFi2D(?x^*YdXAPy=UyhKN)bleL0)i3@M#6Yw5hi-xEq{ zXCI;Ua^rcG?&FXC#JjtH=8)jiC}HAu%*f>Tz&`O7#$CB}K(-ya93xv->atx(SYP1s zTJoq+-bl9X+toiuJ|dLu((9N|emAK1-~7}Y-?I=0vx|loVgT7TW;fAcWc!p{K9OwQ zyURsMc_ul$kc-LTgTkie3)>$D+(*2PY4anP9sGTCe4f|OfBkcBx4uiF!R0`Dbp5BFdx!Pzd*$$fkx7in zzi=_^D{u6^-Q-#tJ;ZAP-|U%S_aUfG&l(un#ed`#Z@Pb5x3^2;Tka{*to5IM#XY%r z?f${7q~~lIc)9!vwywkF7HsFnF54B7z0YvAz1-#Z@UWz8SJ$ro2h99t_Y`cSAUwn0 z$l)2LvMt(n>Ly^>x*3;WAlr&{`9-pQ+_n4r`$HK9loclaCd#J;+9F?_@0W}SZwQIKY4HN50Pb!YF^gpS%2=i>nQ)SpZIOoywL;y@Y}2| zyWeKb8}0w{6L)SmjMkmo&e3+~cG&v0TfJzn!x!ZYO#BHU8k@7MjGytToKt$ha>~+~ zh5HbXO%1kMm!D%J`Lloi_uk|^=X2LE(J3}Cj(r5<`t&@IzLS6bZn1v;lmGGdn3QpF zu)|!=sCJNW`3kZfsa&=Tf^fFe*FzKae&7FkBPKU99owE=`!A`FhO%8v+ew70w`ZsA zAm#Ee&AB{+X2{>9Zy-XeEu8TyulNkXr#{#o{z10oaP?1WcoD;%z3B?t1)o|l-t?sD*jM5I zu!7Qpl{k(~$t_O-W#Kj#lWpEZ$M0x!lg86H$473aAS?6+?)YPa$el^`_a)WW_0;

zL#cD?EHprpJf**1MwZ~5p@jwNM#z|r2TYkxD@3*`rc`reUP?53fyaeceS4NR=S zuETGd6C0P3bHq(|#U`bc9dXm=u`wz2NBDd9itU%uafE-k4I_E}=?wcs^9J%4zuI20 zf&PiTVreP)dH(Ia7`rsjf4^63SW0c4|68xvF)2-X{?RGq^zr`ul-P)robmn*DX{@5 zGsgS(Tdo-Izm*ajnX-Dk|659Ia7xp7fA8M3?-=io@6G&DC-`Uerd`$q|0>D{Pni(h z_TZnVEnj56EjMrRqNNr5scM4%d~c>(HNk(scWhGMTH4v}+I6_Ue=2XMdxAedHO9Y( zc%*+uK) zPxLv~$Jir+9g`9lB~ufl&)IQN66t0lGil_mN?f-6(5^;o!WBmaOYQ2cQ`(1DG23i4 zb@t9&-Dqqx?VjX+l@=ShS0nX%lIJX5yvY7g*iPPg$2-|8QO>CVe^HCY?9dsBOfB$l z*(Ek&R!KqdXS*_nwo9MSa1VwqyOr6^B<(Fc&C9w+mp3X$`Oj9aE8k4Hy{+z}{Gokg z7sR(P-7@k;yll^K`R~~NW);r1XSw_UwyTM7K0K6n3-+X*`c2f?LCCeY%t=bTr{G-* zY`wY$mTAzFZNY~o<-$<@8plGp8`}ZKP49b5H`tR?Sioq+ziHRl2|X*p=3$?^8|OV7 zp620NB_ z{$1&@!)Cd8Z(>~AFkIe~4RPO4w(o<5vt2od-@oN!L+uahsrL`xE%sDAtuW|<52xJb zhB5K_htlt>F%*{Jqz+iT5y1L z4L>5=hvV{(N%fzS?bzY!JIU79hqE0!T>hMTy9{*s8*-GZ@X>q3M)m5mWiof`dUoBt z{Kkyf9w}MJ`)_4fk9z#T#K{VI-+r+PeY?qaSAsmpa_*F#{7^p*E6d5Yb5Z{T_3=is z-4MuPy!i}myXY6nCy;H?UHyq<+YTA@lvx}5oLrlFvh_zU-;*>0XB_p2hG6k5P;zcDj*f`9Q|vEBM^?Wyx` z*eiBMzmlThn%B+i$Dr-x0kmzRE|`u#b3klv-<*>MMrI_Y>%TRC`PPzEKYn2B?EdK| z2N$kxTD$i4pU;d<^uHSz+pBM7Po=-xpx7CG+sVfT6FYwp6U#rPXJVTNF|&H|sX_hJ zy*Ulx&0V!Or%PGKuYZ2;*iI?^hffa<_4Zd`+o6X~_ut9R^dYe^BMVOpF5O+*4|feVVwZm<+sWzh>FXaK61!$}d?h0=-^Bf| zD)}gKwOoK#$##6Kk&nTvW$R|w$c4C8wzaZWo{a0{<8eLa)925IgmtQziZ{q7;EnQ$ zxKS>`O|pGv&GN~(MLq>@!ptDCJ+-OaK8ALAI_@})UDMwEX}mgBVH-x5d^+xy&%j&c znRu%_3vZL{jBUGIiX$_E>Cb_w@?4xI&x;e%70PgiY`tWrd@dd&pNEHFduQdmvQ)kR z$K-`LTV8~7^fIq1mA`_k|Am|43U)Tw zEVtnn`F*@e{s6biAL2H-9k9Re!mLZSCneu^nkeqG(?+^uhvL-5z##!<~I40ZEHreta zI7iOKx$>cStb7>GlmCqKWxLWVz={6fF7paiaRif5lkrOVcw8k;>oIRQWudCYR%Mc>&Il7vfBL5gv4=`u_^T5LKLyqw->$B`?7-xe{l~OL2~T0nU{# z#AD@UI8VL^=gSvc|68DN2^EF%ay&)86c@>t;c2q%Iy11HfULkJD!&|;%2(hr`AS?a zuf!E*>;JDJRI1`?yiEQJUM^SR6|z0>wo<+ZSIPf}tL19EO1=))$k*f5@+ur(qi_SE zR=yFhmH&q8Tr1JMbp?PTVTj z;x_p%+%DgZJLG$Cr@R(-$@k)J`R{nknd<-VBWzVg9o{D2kGIS9I8q#J`48b#`C*(U z{{yGX4LC!71ZT>R;z9Cbwh;|cScjwX<2Xxx0>|X_I9uL;bL1y+uDlVCm7l?R@^d&} zZo&oFZZAh(B1|D9PNnfQ`4wC&H{(+IRa`E=hAZXQ@p8EZuay6Zt1*Mf8~ooY`AzHp zYZNw7v08o$uaV!zwemZ7t=x+1o8)%f zEN{jw@<(`+{4s8oJ8+x)32v7^#U1izxKr-LU2%oa3ElD+c#Hfc-YR$DZSq%myZkkd zoE5CzZ*Z#Ijnm|Bak~5+&XD8Z6EYQkz=N=D2S4Jd{1cAJTXBxs{~PDZ|G{JB|KdD( z8_t)1#s%^(xbQ6X|GyHZsA4-Vl7GX~q9sw3x@Gdtc)4uPj;@e*!7F9E-&ZB?imT;xyh`2;*T}o$)$$&AjoJGD3_`6c z`r);5e_SWq-S2wY?i)79d*OAm-4Wj)+pno@lSUwh)$c4C6 zwqKwrlkH0#VxePbT^Knzc?0@GHnpJTg zZjsCJCV3HVmCwg*@?zXB+uiRD`BK~|ufSdM6}Vfz5^sqs{DrVpuEN{ozvAukH8^rk zuowIvPL->1ntUTpmv6@z@*Ox+KDsx10cH@1SMdK?syH9VWP4^aTV8^5u`yDJua1Rz-97{xE%Aw zBY(q{DzCxI2*~eqE?k<H;ze8~H{n$p`6XNL_%`3Q8<;{4lY(KDEr#7$Q29>{#*U2rm zOKed1C*CN(fg9yFag)3WH)C59Z{batLF8ZjU%SfR#hvndxEtGcW8Wa#BEOHf;za*% zKfJU}6(8d5ayyR93`X9JQ{|6vn*1?NmpgEV{0YvKKgEOO&+rhr(|$23s_;1#S@IV+ zCVz>uWVYvta!PEN)3av$6v$9E#EQ`i}Akkjx+c^BL$_r*=}uDDrF$1U=1c$2(4Zk6}I zZE^-~pQ--8AE84P{c$I@k6=&St@2E~Mdf?pZ7Lstx61=@WLB`j4#KJO-Z)JjjML?P zQu+Kd6oycdDce(`gXI125Nr$dC!D47D2~ZPakknF!?~DGD>5ACt4$UzkoU)hX6ye) z5T>ZY!$oooPm>S8GvtxD7~29Jh)Y#&Kk!>7kHY2hXj~y5geztHf#CQug@XypvOS6%m8an> z`BWT}r{iq-G@K*Pz`642c&vN|&cliR|4c%@DvEJ|d=@U0&&E^ab8wMdf~Uzd@eFwu zF2=ToX5&)16ql>boK*V%3Wd2;RLb-4GPw*dm*?XZ^0|1Wd>*cn%W<{50I!l4;u?7o zUY#)eUj<=}D$d8X@?yMJUV`i7N?b25#SQWWc%6J9-XJf-8|8~|qkJ)LiYr_~XqK1b z7Wq=VNxlrX%C_CK$t!TXd^zrrufd)2|KTpV8h6X_YYAHvuESg9>+v>u72Yo2fFq^B z)_x;SmH&p*<3XkB|5p=+sNxnJm2bsa@@+UKuff^!?Knri1Lw+j z;<0iq&Xe!L`SRV?{}(9SLq(yy7Eh7y#YOVp@ih59JVUO-#q#~QM1BC5$`9f)xgM9B zt^a?BP@#&4ai#nZyi9Ju%jHM#3i(mIQhp3q$?I^n{5W1EKY?rH^*Fv-VFO`}{3NcG zpTcY9r*WOU5!cJl;0F0wyiR@&Z;%`DM)`T%7&snzfzYIi7jd)Pgj?j7@Fw|X+$z6< z+vH~4F29O9omJB7csHgw|zr&UC z_jsAS1uvI>z$@e*@k;q8TqSSC)$+gbD)~RSCSmr!{}NWKVjEr~|BP$pU+`M_S6nA= z$My1WxIz9Muap0WH^`Ab>;-Z!+!$9#AvDRoakHF?TjV}?le`mdmF))z+GP77`F431 z+#&bHo${`@OOB@#x)pZATjbsGR(TJ+P0qmE<$gFaH`ojM<5YQ1oF-@Dba^kFArHWr zbJhP3Bn(o8{d~d@Iq}m8@?grd9K*%(0k}lAe@v%TJ`k6gt^dy^l&iwN6IvnLSH>#k zgYYu>V7y!&gICCh;FWSNu96SM)$(C@mHcNMuTdCFSS=rp*T~~=t$YMtE9c=lc|5L{ zC*TJ8NW4zY#~b8{cw^vrWD=oK6-VJFxd1oIN8=Xx7`#cgU&?5e3vrulzmU-`PsSbc z@wijAU)AWEtNwo~p<5Lv;4Sirc&l85x5+2r?efVuGB4N*PQj`2G@K^ezvqxHPsbVZ z%s3%aVHO@F&&EUKQXG}%;4FDAj>+?Iwp@mD&Doyh6SduaqytRkE$=YIy}-l`#9?<%AklT!B~1SK>ADN?a>nh1beg<2t!EgS|k$ z3pdDj<8|^qc!RtaZ;UJ4OK6n;j+^BBaI;*8TjcxkCiwx}D%-zp-6q%LcKIRPA=|%q z-6_ZIAG_{Suz%>fTYdy@k?kM6-YP$ax5?}9cG>_}O#7d(Me<@GpC-hk8PCvirZ z`v0d0nW}gi50W?HA@VagDnE;}5*+dmIpriwOPF29c}@p1e}-4fop_D>Ij)tzz-#3%ah=?S>*cR- zgZwpKCx3%C1dd0#2^&@MEpC**!%gz{xLMwUTjU?`CizF)D*uGrMTxVU;SHaE<&DUM;_j*T}EnTDcjom0!hm@@u$WejPW+EqI;$ zPrMja%e*@Fuwxx61#*ZSuRgU49RD$niEpr^5TVOa1_N z%OBz`ay#BCZ^ql?kMMT+V;ng**b6#vs{9E~lRw4j=c@nzjF6#V=e z{x_Z>Z^Om%&$z^F{r@k7QdRtl%jE62T>cGL$Se3xT_v_#tC!>D@)dZcd?l`ySK=CM zcf+s3werD*( zd@mj({~ZsJ@552K4rj^t+m%BaXdqQ0vF5caS2ZJ{~HLUs(2EY$xq>O`59axKZ`5n=kPN5BEFBkT)q^q zkgvllW&4I>mE3DT`u}Q$6e?E9y>X44idV~h@EUn1Tr2O4*UD+QPTmFA%YAW!yenRp zF#BIRVS_4m!yDz@aihEkZjv)_v)m82$o=sqc~9IbXW}+_FWfE87|xJ~<4idV50dxCL*x-S zDtkCfj^UVm0M3?2;vD%voLjE`KbtUC6{B#TJR0ZA2jK!a2N%i*<0sxPFTe%zLR=^>!c*i5TqK{5r^$=)40#DImMd|IycCz>ME`#Q zp-dGQ;&OQzu8=RnmGZ@SnS2ReE-%L`o`D$Dz{{`2}Rk%U^D_$pGgEu71{`Y@`jjE`|jq)J@;x}RFxbM^;#B!w zoF@Mrr_1-@3^`s$$W*u=50W3iL*xf>RIbNa@vI!o~8_xJ2HFOXX**|1VQ`mWp!uIb0z( z;!62>yi9%pFPC4$E954;Qho_n$uHw-`4zm%Z2f;Tp+*(2;??qNc#Zrzu9aKxTKS*2 zPJRQ|%WvWac@thIzlAr*Z{zqzg?9*zaw~3<|Am|7cX5mS9^NFk;a2&5+$Mj3+vN{& zhun@k1IHtq30Tz$hqLAHagMwN=gL3evGR|J{$JrID)Qy6xIq3l zE|mX+r^x@sMe;U0P5v3rkbl9&@~^l=-i}LgqW}MmP^OCCak>0ITp`=(GDfhuu@=mx~-r0V(f0aTS6*clMc(vRYuaS4fwQ@RMEANKuY*fXbxKYl;P4Zs2SssL2uXj;B5I2oFnJrT=`HuwnF{?VT3$Y{2AxVV{w6eI4+dO;VJSFxJb^! z)8z4ZhCBfm%SYl8IsZ>_b_0cpRFuh+aJhUGu8<3GrF=AACKux6@^N^DJQ=T)kH=N= z6kKhN^KnfjtWw2ExJEt&ua-~6Yvj{#t$aFOE1!w$!A-mFMF&`CQyCpNBgF^ZW;)Qxywvm%I>n%Zu<9xdLyM z&&S*3#dy2C1V_#f_JT^BDlf%p@&!2keD(hq5;9b=3}?z0;X(4nc!+!nj>^k%mV7CW z$t!TSd^ygMufVzTm2tvYg_Sr@z6$5dSK|WtFSt;y!c*kG;v)GPJWc*TJVUO=#qzbd z1Sk6c>jE|QWYPbxQD~*2R{j@WE5D2D@~@EIA#=O<^8hx{3{gxL`9_>#mnTOc)2_buaJl1m2wuYlK02e z@(8?2_Hd0H!>i5K{~thDql%HZRz47~m9uf3JPOy#qj7_L5MC$e;0^M@c%wW9H_C_L zc#}ddp;eQHvRu< zh51yhkbh#TZZc%58oGxFFGvrk`Q;y$27^H9`9wPq@N97uvCEtW&^36C~_HmB98t2Nl;IZ

@baEZJYm&*6zGWqY;|CcM= zM@5BPhb!g#@iO@Vyj*?|uaN8UO8FsNB|nU-<$vH+as#e0TmSzEVYMnA#cSlpaIL%! zuazIib@CIqUS5wIv3EirA1#gj?@mBd&yiI-$ZT|KB1EQpMYNi2M$Y%B?s{{uhqP@8WFvJ)9%A;avHBJXZby=gA*h$C9tm zjtk_?xKREGPmw>yMREt8CVzrw$e-e3`7>N1e}hZqZd`^F{r|Uwa#ehXE94(>rTi0K zCfjfMFPD4a6>B=3ov4x`WTHG1VIoX5dPXV}#h{po8HCU>h(Z{< zVXP3_+Saza*=7A+pXa&jX zJ|CVXz5p&0=fiWw7sBP@i{Sah!lT69;4$K(;e2s-c&xYwTp-SZCy0B(h2mat5u6zRdt-`~5rIp@ zQMgom3_MHR2QCvI3(plF2bYWc!Slt(!xiEa;7W1-hi(2ZlS9T*@riJ?cmTXoJP@uC z4}w>VPl9X3C&TN+r@-~%TzI`W58jYq?!Up9jmkI`ZV(TFH;GS!w}?-Nw~Ehz8^uH6 zZQ?WGCh;(MyZ9`4hj=)=GbVF3W|w#byjwgH-Xk6b|0q5O4z3UHg3)l2_*^(yJO*wn zJ`YY2pAUBw$1cF6%H+ct;tSzS@kMYq@x^eKcq|+dUjk=~FNOPwFN1T$1@Iv8I5_uu zjsKTphA3k^JXAaZ9xk2;j}lLU$B3_h^TmbmSn*`IKzt=UL3|ZlC@y-K>t7^uH5tX? zDR7B+DqJd_3C|K=50{B=fai*5!R6xF@O<%&aD_MqR~p;+Uxukt#vFL5_$Ihod^5aK zJQuDJ-vX}|-wM}?Z-du~?||#Y^WpX4JK@*{nF`EC@dCI(yb#_bUIcFuSHfGxi{VCb z9Ns3bf}6zm!rR45;2j~yg8MK#m9Z4wC0+*a7B7eQi0_Ag6j#H+4dGp|0!|V?04Iwd zgxiW&!YSfaaK{@o{y&6CRmQ_`hPVdK6h8uY6F&-Pi64U_;?;1rcn#c7{5YH=u7wAQ z*IGx&m3a~#B7O=UDqaT<7e5V;5{2V+%{5)I;C&vHvm?CAo z02hm2giFLP!KLC2@GSAmaGCfOc&_+WxLmvuo-h6tTp@nV#{Wv0*U6|7H^589Z@|^! zH{q4yEpUzaU3j(lL%3G_5xh>^2-k~0hSw*U`|r<~4a)cnyit7aW84MeVtAAI8hDF% z8oX6J9c~ntz}v*v!cF2C@OJTa@Q#>FDQ2g5CcI00J-l0d1H4B(3;t0&8xCfLcfpNt zk~jt@i_74);yG}Nm$?bkQRZeiRXi8Y5Z?l4if@IxiEo3m#N}{AJP*zm-wyW^-vQ@{ z=fi_$Y5czvldFuo;349>;i2LRc(`~0JW9L}9wS}^=Zh=hvEqB+0`X#af;j#d*S}Dv zii{%hy>PL330xw+4=xoig=dME!DZs*@LcizaJje|o-bYjR~Xy){{W^^84to$;+61H z@hZ4l{1CiS{4iW2u7OvJAAxJdkHYK3kHPig)o^UR%o@xF@#FAD@e^=^xE9_dUJGv# zKM8LYKLt06*TLJwPs2^(XW;E2$AUV{4rSECJH^k!yTs4IyT#ALd&KMEAH^@g!R+uZ zco9w#zXT_XJO9jGAnpRE%+~nd71L1}-QZO5(Qt;iJDe%*0e2H;!CB&-a75e-&KCEE z`-vlPjyM{_43aqp&K388hlsP`q2j*qaPhJ5DDiRd7;!&1Uwk|~R(t|nAnp%OfD_|? z4yI5UC&ESI0dTQ64=xc8hD*h#!n4Ff;4<-P@LcifaJl#lc)ob(&y4>SGG~%eDINw_ ziO+(UiigA1;3>S#I zB+>sT$aE#6P}~hJ5+4m0i@U=m;vR6RI18R7?g^KPd%<(Xz2S0k1fFjkW6+9XDwJ^y zTq*7YSBbOXrQ*JDwfI^)fk__2LuZ4dMatM)5$n zK|BcFBt8k=B0d@3Dn12n6z9U*#CdR2$o&2fX1g*@g?EUDz&pjK!Mnt#!@I?2zec z|6jpOP{ymU|0>2txJc>0f{Vqk!6o9?;Zkt}JWKorTqb@Ko-2L}E*Eb~qW{mAd7F$1 z@jGy(cr#oj-U2TbzYAB3--B0*--m0&jqqym$8fFq*YLUobN~GYQ?HC|@Otql@CNax z@J8`xaD%uB-X#7U-Xi`jyjA=K+$i1-ZxeqBH+cvA3bS1qU&A}ZJK&w-Z{S_xZ{gkI z-@$vtJK-P2zlVde@LBL3oFx7OoGgy*!nBq7Bb*}s9_}dq6PzmE4QGh|3}=e}0(TSt z70wdxfg|D{;B4{V;C^Kq|NoB3QAY88+y&xm;9T)Ec!+p9JXBl)4;Nnxj}p&-$B3_k z^Tnm`SnG*W*OM_pJPR%q&xVV{H^Rl@7+fMQgGf zaV5M#d=I=)9ETgkRq!V9z3>+C5_qflKDbf56y6qcELetVQpR$4yZC;1hqxNvDP95Z z5!kyzW641ESwnsZ^jfTV=g>Fd<$GCz7;MK-v$?p%i$97Jh)VRJ3LE#2V5qe56>0f z*_QraE^`+d^Tl_=72*oGQoI1J5-)_8iWkAv;!1d>_#U`Myck|Bj>EMH=Kia~tW(Cl zaJ_g5yk2}Cyg|Ga-Y8xMH;9+Ro5c6STg27yR`CkBQTzbBEhh6Irb)aK-Y#AR?+`x( z?-V}_?-JL*yTy;dd&G~zKZ+lNgPX#;U^Sd1j;+BY%RCOZ6+Z!|h-=}F;zYKR1zXE58Uxg#$jd1o&8vlQV>8Fg>;2iPm@E~ymoGX3<9wL4d9x8qd9xmPl zj}pHPj}gBE=ZiPDrT>qW*+NEv_+5B{_&vB#{61VH-U=6sKY&ZbAHt>LkKkG2Mz~D; zF+A7U#{XYq%9ZgOc)oZWTp|7ht`vU?SBXD^mx`O+t-Xz`$ZxR0<-YWhMZVWjV`~kB~8N1*n@gL#s z;_u-d;_6iH0`UrXm-qpAxA;MLk9Z~gqj(h@+#KEo55Y+{Yy5v0ldOyyxUKjRI7R#@ z+)?}(oGM-oXNcFpnc~OcZsI54EO9Ly5wDG5vSprx`-z`|bHwZ5LE@+3T=6sT5OEzm zR9p`a7e5P+5~c4cniEb z!Q6lEVrrG~9=uNcK3p%}3a=M`0B;a~2yYaB1UHBq;Z5R?;Vt4{!&}9_fg58o+c4Y2 zpTJGxPvPz2&)^;6+$r1z;yielcrd(Md@8(0JOutxd>S0g4ex^3>6j#$GvH+LP`Iu5 zOgKe64DKjC3r-aehcm=y!VVj?C5ge-0*F8KdET;&b5~@fdiJ_&hjQ zd_Fuxd;vUEoDUBdUkHy9Uj&a4Up$5WpD#0(jIrWN-~#cb@C5N?aG|&WE)tJ}i^Z42 zCF1dLsdxfBOFR)SGq&-65@xP4u7JzMh46gwWVk|nC0r@K3a%0t!Ar$g!`0#`@JjJi zxJFzI$5zW+gQ*oygV%|t!}a14c)j>qc!PKbyit4|+#oK6H;HG$Tg2DHTSJZoH((l- zF$>-%o((sNZ-lptWAF}fz(0e!Q``dHC2k4t7Po@;h?C$S#rwg*EgJt@W0GzOZ;Ack zWN|XwR@??o5g!0|6dwquirc~&;&yPR_#n8O_+U6ooD#!CWZJ{o;tp^>@gZ=IxFbAB zd?=hNJ`5frJ{%q@PKAeyJHeyGY48|0G5)7x@|BSRj};#Q7l@C9Cy0-N3&ojmk+?Hl zEbaoAh`Yk2;%@LP@zLMV|I1{$lQCD^11=Y5!SlsE;R;XUFjrj}%mpE_Y;@zlvv;(gxB zinQC_HZ`zcuHB7xkFRarE7r%bkT$4r|ZMB2sQeJ|^nmS~IjL+$&1R`;~S z4oVH|o0`+dvp6O$f+GjjUGsj{i)pq&-|w=HMC;D^F6(b8vCN*Sf&H;@4y8@I;*-!G z=)vfngAWh*Rqfye`~hgL)cr7gyJqDtz*`M2RJrk}ZC{ACMN7~&|ICjCvq?CxS%GqG za3^XzUV_?&?jOQ;z}KT4(M@Q3^b?d{Uih`xhomHrND2mVt2Z}RPXhud{WE&n9cDt11~&p`&$lz*G@m&-qeGT;Wmn}Aio zYq$w-P1}T8Q-64SS&bqsO3jh67PM<1^&P$QQ_8Ek6^rmbfjF8cS?g zsRAohV2%89@~_FiFaMeRPWe5k?XYz;tjHnKOw=kAkv~!XG}Joxm}o5AU>ph7iKZ!I zjtbt1TCb0zt`4TOaXD(ulV{&^@N6{f!d*8ht8n z*T|awbG#kkOX)YLRp2Mo`b>vo!V~C<+9f+4wLUNcwLWkqY6rX;wG+Bd8uNrXBv>Et z4Q|#3x1x5f@0Pl+QhBey5_zw{Qu*bmjb)Fcc8T1t$I~LQ;AOz>+pS?j@G)xFW(R6# zyc4wz|EBz(rAd9lbT8ilZ=KM~ABDF|>if@@&qJ++&PDAXJP14?p9Jg8m!Z~VH=uT{ z-QR<^&a?ux3OynJy!;!eRp3L^PT))V@8w%$hnFA;O(wrB+R^U+Ou(+;@yhT5C*iF^ zo<9U{op2ax2e=Tm1G=Atw-L`f>5X{1q`^VqB`CvN9~h25480j2vmJSXg(TP+E=O(0 zkD=Dde1n(pcII!Rw%s<=%I`p}{GU;)pl|f2)+ch-tPViy!EN8ls}^{_rDeNggInb1?Hl5 z;Q8910<}*1AZne;{W|5>qjmzDP`hNl{rh-3LC^mZZ@2T0sNJ52#*PiIeJ|89@=&Xx z7dTDc^UuU5t|4j_zW}wSpMcsWnU2~uzgFotp>_#wL+w&6LTx+uvE|C}4IWj2r^DFSycb-J8b0_lc{(qhXYvLDC>jNL4)`adK(-iDm zZTKgt`ycT(#QFi+_6z$^inJqY6*x>j4YlJPfyV59?yU?j&u%6DIlw@a|PpPv8El3@2S35gxOB>yYa&g=u!D(e0V<$t66 zAC&KY|Kr0J^a^L-tp$!m?ZCZI>x4%h&;4%+$15WbwKExk+6L}N;jMz_qgJ6wsErT9 z)p@7jtx2yzyP%6uD}OI)m-2DcZtEve>jSUKza9hZ%sxPEgWsUmq~D^}51`g^?w`QhnQlO>V(+7NLhc*!v{)?o4PcMkzoPcIb>F^!xWQql)wCOG z2XNmPZv#t?^c2(%I104^>2lOAq5BE)mvcMX{xTD{CHG$nU}rXo0(K22qgLZtsHJ=U zO?bQZ11WC@TB!2w@4?#vm71JpM{#wl|Ns83Th|d<*&h8-|-4Pr*Y&4(yfBGqV^A-2fo93B-l0b8s3Gsd_TYf zyq)19)XrcfYQ4G!wWr^D)LPE{X1txTm;VfJ+kcMQ_TT4l|Jwoos)Xbd!(M75lU<{Z zc-yfLtyy^6p_hM2XOu&_b>2Lw`(Y~Y2fPSxC*=FPD{a^6bf<7tzySz_Ig8|_IeNx(5-Y2DXy$|l$twn6-nPJ2H0k!J^x=`F_b7zW1I@XasTXYzi@ek<>n>`QsSWIN=!WU)kN`kfN|ntdnl*X)n-;Wgv+n7m)I zzsmb1` zL~6im?BE>Kn)H0s?&fi*-Q8ECHk?dF?b+pTdS>8lSeS*{_%>`?D94Efs{woFJd4`>`2uR=*t@9BL%u@oz`lduSw$FZv*dxs13Z&pw@zQD(91D zZ^0Lo?)k6ctz|c(?d&$%W`KT!S`Gh-+8O?UT1DE73jKko&1=%+Gf>-6meRd^f90Qu z+V*G4pC!Nh#BiCyT0CY=elZ!QehDta+xw6Bw32k>k3hJ2!lNPkQIZPY68F=_|!1AdLS{jkG?{vNf8 z{D@i$v^^*6+}_FC&IAGJO(RQczkR)Nbm@pg%H8wC$3-_Q7I^>VLZ4f%G) z?*FVl5POOYYr1f5`On+vS<>zHdPVvcYG>rv@NIeTBb(*Dh2F*6CHf4tOYt3Q74Sav z1D^f!?G4?>Ek}nH@d_p5ZA0(H`#ww#SHAb^?s&T-Uf~{itFYfyJ>~rp^-g%Y{b%RI zCuj6r9`ljQ2bz5cp6m<49Ud#~Cp`hR7S!v(!2KZ7ZQe5kwM#VuwTF-UbMRKdbHn^t za0y^du7qHc3KXJtfGJYX^)y$f#6WQHC=|ZtMc9VknfG!j*pYNAE^9OPEHmlmQ{LH9-S#i&*EI;r~`@wVUFq!p-bpYZlHt5iZ2Y6q^C zy020GYSfzaNvZpK<*!Gr08;AY{bHVGmQkUM+og+DUJ-ga;ZY6m(}`R=onA3^Ps z_LaInLHRL1-~c6@j9N`km%1OJ{L!f0?~_n_Zo8kU{Mo3T$Xu!WJCuL7(ievs3p`<& z3amh_0*^@DuT}ojN`FD>{#VL>1GN@=U+Vr7<$sRa-0{1F=l=U%2|ueqt4qQ@(MIb2 zV0@yZiZyYGXy6YDQM#q#a`_k>ePu+HW+9I1jANH4Pu$ag;; zZ)5o+>15?!jasLkE_FXs`CfiDKJjY!4!|Z3OH^PvYG=4g>VCEIYf(GEGg9~KmA^sh zuSwl+QvMdyTB7k1?tcSMXi@=xm~5Bdfm+M#mfwTgOS6M64O^rGYMrvXd@t0laevfK z%%3f%``OunHL3e|@YXV0rN2gP z`%hKwEBSBbzmEad)IUgr%fcOdfn>Z5wSIRRbj%6DIfw+gS9zN~!rzmk6gwF+;Ry8l4=AESw-;ZGC5M7l4qC|#QHcK>%)fuohtTk5_q-YRmUG!L}{xIaz) zOw=kiO6vXsyj`LK>15QlzZ#9%1|@*0C79lz0x{G&(LAa9Maqw(R(`qE{VKfOu0FD^ z#oI$_z4Ud|Du9nAGTu_ghp27%TNU^Uwfp!_N_YRW@>`7y=ZuG-w!_0wyPbQZcHevc z0KD~f&p%t`&lwjBH#|=X-b5G5Um_hRorqdfPLaC54sV_Idg*M`D&&5Syr1AL^7CSV zHDQHxo-{69E?tG%4&6V3w~9O|eO~!5q1M!IqIT)rZ&iMy^1qPAJmEVP_#U+ZqSfW$ z0sR2`%O5B`7_|zdqISTpsI@?MX;0KD>^>^r7q#2>givFFCk!OPuAPtVBelV~+8|%* z{t~>k+yvC_jv~|!=)M?leaW8%v+!17AIfjRA8cO@@iVSefn}&Q-NVwgXrlMZzb5}K zYMrbJwHEs$Y6timYTGA`4}Ck-{Nbpbd4{wznt1;E21i>$vjRO;@EGZF%6H#iejsWs zF<9#UOyzq8&c@q`_|r5WpLm-!7OqzYDcXvKY0B zxnHJyf7-4X&;4%)SVe|4iHA9%ZlttNz;jM@pfZ;Q8@`;(PF7`01qHfl{eMtZUIa_MB$D&+oZc`tvB{Ix0{nHT`a> z`=6BGVq&=ecBt(?^xXfcBv_MWpw(?@+$`yX6<5R-t>P_oFdO zSfvCn@EG1Il&B&4g#1$~_pH?YOUi#0wHA3xz7J|=zFGc#)Qp5;Q`Z7>*PmC-FH)dccu51y5IL*^1pl4o2~t64J!6O7Nw3=fqtl6+I`>k z`kK)|Rm8ubi!z# zPnTXRy$-b&_Ah!Qe3XP)DsZC;_!leo`n~Up<5v&v`ChEw?6749O8314gGsl(dNyh! z_l2lc*!};@*Zr|zpZER0_`3gMRbb!O{Z}a6^Y`f!|D#L%>+%4XY~S^P>Dplowa+E< zQ9EPzcgg#w_kBOjd!O?b-M7zGX#0g|8+$a|XMnDhu0iege@gnS(i0ny{tDhINJ{s=ZtM~21|84=}Jq~1jgZQGZuz z&<*cjUTM5LH5iEZFGAEVNDa=$`xhZnD^r8>ZMo0C3DK}PHRwtK|0YCJJTJ zf+tgh5q6vTmr=H?tGjnr&%gXC=3f*js7np*rhtEyqVf6EU^w0vk#k-QZx>&nEX3O> z`Zpg+@%Gx!7nw6(3f~j>s`~cssX;FJzHDDlK0|A4?>8NqNU+DWf72m*L%2gpA?w4iN&Jfm+4zN&_wN~O_%<~-!Tx~N7mW1w!t4V(1r1cd zzd2Fdxl`~D`vXQ__b=$%DHzHIzRX^IT&Li9ysv7Pp4cg<$NM63&MBP|3zohNpNqGr zn=iBH<6px2qV%ZKIwfXMzA9dUA7Mk7FN-&j@C@Ep!AsBT6zstJDtP_qPKit8U$03% zw^Q&cXXLBknUm{29@6W>BVxYdSsM#$+#m4zCO4` z-q!ao zeYvg>Z~OD*xiWm>ud;peSWdzk3f#^P>~XiNJlw!%x5@Lu9X?9F^@S|Fm0Nkzn(7UoOkMJ=~$MhGpSxj^(Rgqm=KfUHS69 zxK$wUi&=$udl>lQRrwvAVu>31YE|PM;Tg5MBy54?`C$bP!P^<9;B9)|9d8vW$J-8l zEve?tu#fm!QtDmd{(S9dDBh;^z82JQ7roeqLSHN@zB}B3zximw+coyJpj~)tVqg2& zgSTtyYdt9yoe~drU)ssX+a>a)m~y=B&p$s@#Yi}U1b;bHwjeCv?{+HWeW|4yZwK_H zmU`v;LPG=I9v(gy%U&3skguFn<86CiIf>PiU^Vikk_No>0bj{TUKHm0%1A2SdbO{F zuk|^8P)dTGfxoveR{?)-U#%VZ`@b6H`)m3Q+TLHwZ^2uq z^Vje}JglI_+Zp&<^J10vS25*y>l6N#yhhvm zTkv}MzPuy012@R?(mR$|aBS2L{4Mt$ydBWrY6n%J_qW=~cnbzQ~LjFp%R^H#3)~md~F>SzG1^kU@6W#_Qe*-#nNw`0M!8r=g3B&?_ zqgkK={syx!ETA`*+$GBQ7nEgq>vaCQty<;%g=8(>Dl}4WzZ#V9FC-iBiIq@)-xu5$ zHtAG0uwI%X?=KWH@wO=KuhVLkzeoqzfVT?y`@tr>wSd3>8@e=X0e{^$3g6Q1e}BJM zK!Ob%{(i3tZ)f1|^J;Aae7asTZNS?l^4E0@%J=CV$~$+km( z-Ih&)d4FA&i?<5++p&D*``fU>Wpy7H^?E05?* zGw?}d%Gz++`%9C^0`lz-lzkGJ^<<}@JKiUe`A>C9tZe!uvI%durB5PL*L6xPtNSFf zb{&&Q+ksCao1P9Ql|G3qeWyWO9AjM|={Qg|}I;Pa?PAZISvHCy~X^hG+EOP9jU$-g>=HBCF(oX%bmaejm#J z;v_Qr`EUy4lgI+R9l$4%WqA8&^xsY*x3Imn;4e-hcTm7?8=pkxt`9fxNo2nKFHItg z$+x@e|7;Rjs|xufvH@?m=`T$pcad+C%)OJy%ooBY{!b^7xs&fByx-LeG<6?Z!O}J$m9*-_W$uDGLsE#2R@0+ z#oNQ@Kb=G-zZ{PJK8dWr+r#L;o6IZ3^4je~POXzm1#Ee`>4Zi?CV!m$Pc|(>SXIy~3};Kx@ z9lJXP%g}f5_A?J#e&`fTVSoN3M!D_Mf}8Eri2u-3e*3gw90mMGiwe4>1=H~UqeZ*= zrv=mT{-Z_ZXQd@}?7uOTJ3KA%%)a`V@Kt#O-o|tPjiKbT(}Gv={*ynM=cWa5n@IX^ z{xn{YmUu$#WCwN&WaXzNCJis+?Mr>x_$<_a;-~n+wBQ`P|Dw*Gi_?M;_@wM`Exz#5 zw4gKIf54{rvb5kTZUz4Vn}+N0_KJH48`#H|%v;lf9JEj0@Dpm&ZE1;)<3C7~QXW>Y zf_$q`0iFig`+G3Oc$?+;57d<6?cVd>k14HAODqcb@6)6_ofgDwmf^qOQcHsEz<(>G z9&cUWe;Z^M{(g?=zXh`6&9vZl^8J@FayF+WhExAti3a>G^8JS_hJKWmctrc}N>qQ8 z7E9cl{=*W5jcJK{*MC@|T;6|Iq6Tk^ZT`Cw`H%6m->g_#jC;FYG531laH+5 zrX_x|!+*3O=ZmzUh;;vrfvT_460bx3Hw9AjzD^77ph>5k7=Hh{`0rs0_}}zbvNB}T zCjS%u3i9`-M*b)J4Tsb%_+#%6d&T^3-|{2ri5mJ+Q~};Pi~nib9()m};D36SpOc>G zME<8|g?Kx|@K4WJr^Me)g8${&jv=A_C*58UpY=bQ$p4hAkpipOq5tJra6x!L z|I4uy`HdHZ3$K|M)b%|g@=j*V7q9nFU@%Rz?-}~?fAW=Kjr|N$@B^r^uf{h`Pfzq> zUpq@JNl(nJeKEZrZ%yoT@8oL}XT-l=NR90N@+R@c?lKD4Jjxfl8}K$@_~LlZjP%5P z>xjb>_o#G6PQ^zB#_EGSdBk2`V>pbNubf$dN58H^+Zp8R>GsI?}C=y|6jn=^l>V zv^jp#J>(~CiC=P0TV5{GYkE@D2*RtaM_!0L; z7PdTOYkcj!kxP#)+Zx_kf1pEmrd)Gxjo|)Imlxc2U~}C{~dfxyu~X$j!W~T`$)15=KjGTo_l(a z)Oh{i9vQLB?%gwsCQivb`J~Ka|5;?+KAXINKdRX-F5+x#5zlvdDc){N_mlC>%U_A_ z)+|4h+cI$$F*QSH60Dhh2M6QrQZ@I@chHgiu-U?9Jb`9v-Yos`HemVo=i_bi=>Af? z-O2I0mqvEA-2G8}&a%i&?MfTNUd)fd2SU-0q+h2ecIf%(_~r+^jl$N&cwP}?H8X!7O!lJt;IWGz*0ck&enjTn;=2>R7HcGLGTttX zom(bXZ%os__C_!BB>8r6GYQ{MDP?Wn>6hdT9GE^7a<$Xg}&(Gv+yv&d6gAs`nESy+WJRmdW^Yp}LRmvp(%bPG` z^7x4ZGP9I^!MJHtCQrG7w&U|^4vBV8oi=US)M+FQ$6F2kfcxsj11>qBgfe#4*Y2Y; z@OBdW=6m|S`&xbC-pdj0aNh!cw)<-1XYmssj-0&r^3CR`b`pN6%JB9Rg#T`T`{w_W z_v3q48a!@7=Cvi04$jG(FtKFf_>zee5(kg(R}*=3#F#JA6QhV1wrg zOj@{%d>iaNf5PN(S4^2Yy=3xuT|e@wDPuz|{q(0A=5`zZ={r9XIr7*YcpGYcAA=`e zF?mYn^eK~ziznLPk+MBKdE5MM+dqVI`vtXV66)#0w#N^Bl*!FD((O*e=ixgfe;KxkANSke#;<)e zGOT6Em+_Y$jVy1u{>%8d$0E;kDEaDN=P4y$#e1%fOgnau(#Nq+J8$>L!#1CAe1(^*=6tc9oznMOzik=-P2D* zW{f^|>7b0ncNkw~+_0x`bKkj{uOmP7@k?4p2e-WVr1*-K(G!ln`=pG-CCw`?E}D4R zn2FP_o;+n-5nYM&gS0&oTsL{jgsIm}4>p_>Z__GzdWZc^4!1kD-{q67>!h9>AJ-~+ zdxxQoO0H-nW0tJ66`c za9A`eY5%&_e+&*hZB+d8gQJJUe@=@Y*LL0-Qd;I78sx>-)Sa9jJ)-5W!@KwB5fI?@_sPfzl^&5<6vynI@?Q9 zkoWYuc-;}vVWXcmpB>~i864OY_7qBY4)V&LvAmQZ@7@HI1$mDfv~IN_$o&WV+F-8T z{=v`o-@!k(Xce5oex8Y+dt|iyv^w%u-rwS9W_1IP%Ncm=K$iB#W+cY@0OeBi#1FM! zm>p#PkNmpKqoN;W4kq1V2yr?wlo&<~Cq@vXh|$Ct;(Q{XxQG}_TuKy-&4`~fs@u`= zOLL>0^GKLLOd<-2D~Tdv3QX~2aVs%zY+Y_%^rjZE8%sza?jjZw z%ZZ1G$BAc%7m3%1cZd&(Pl>OH?})z;{~%glOSdBqBaS4x6UPuI5GNC-6C;T8iA#w| z#1vx2wHdKs=hYd(_rxCJA4JlWjNkwwg*c4JAi5A)L?7Y=;v`}SaTYO}xR59yt{|om z*Alacn~B?r1w<8bKk*Q;hFC{DKP8qCyaK#IY#}}(J|(^+b`pOg{zkNznh_)u2NQ=8 zM-bhJ-o&xQi9{}O1~Gy-j~GjgC$1!}AxeoDaVv2rQAylq2@epD5Vb@d@gngnViWN` z@oVC9Vh8aD;xEKcL{f1^a3Ilv=tN`^J&0q7L@qIu7)9h01wp}ciTIlMj`%b2Bhl(Q`aRK} zNF|OUx)aeD|LaE#BnA^_5~GLz1MxcX z4)Fo8jrfB2miQyFhxnOjT}p-8@xP8lI?;vb8Q(lKIz9f%@aVON&dUhKF$At4P9SVN z+&M2k{OssaNw?F$@%De{aazj6qM|-ir;OJpgZa0|H=Z3`)aDNMMDd!W_}W2yrY{{4 zJ*veW@v0Hgsg~8BtW9^sQ$|LQYcW4QWMuSQq$+fqMn=1~xV>)I$mk8N+H)BDue5@j z0lm2*KI`0Q*BCbO`IOmVXOfr8{~d1+d-p%#yEpR(FuCs0%pbOoFT-1{efv51-p%}C zynX5$>;>*pg8QZWY_M^k{1^86c)^%xpB4+^6=R~uwONpnsCLI7UUpveuw$mroHE{< zbSHV%2KNy58O{9`*d`Y{7sOM}i=NVEA)Ot2;lgX{C$eMd!2SqPnW{qvKn)xF`N&e)Ni5VsObiM7Of;yvOs;@i99kiLu0FVmeVq%qNx+PY^E6!4GQl4*NJ0uZ{57$-gy1k=*8_Q zHViw7=o-XVER7r!A8|=^c6)7p26HW&%2IsWCDBu3_i@75lL)(q_Lm>9kGDI#dA{x5 zdY+e`3fs-&z8JQ5ZSJSRR!jHOVVgO}Ji+FqHvb&Jkv;83eDj^G!`mI}`A@^mcX~Vi z%x3v_!1gZ0%bT}5^wfW*U65FBw$B%lo>?ak>fS5Y3*TgE|122Hg>8~-t>tBIQ`!8ON5! zS6&`Hwe$VVnaI4Hu+NO|$xnu@F?LnOlgCHLx41uEG@cV!!rX+oi@29qxg@@BeDtaq z6|EpDiPwlK;#I=Zo**72UX-s!*AWj9&k=7DR?cD>v6k3b#nV}752AI%8^q9Y(+e|8 z#$8@CF?0O5;&J0Am(0wZI%DFr){}~+URS*$zH$Q3rWNtV3DL9me;{nTc*ScyGFlFK zAU6|N~{adVz7he%Q6rSw=F6u{Ve~q)ne2Gys`#$L=(+75Vg`;Kh}z*tKNKG`IodU<ZGax(MBhvMC?jP_{paD4ccJcS+(hngV|$1DHUZo85usfj0F z75$7F?zk%2yFFF1PBNAw*f0^SigzoD_G$k}Sks$Hv5q+Wk@$q7=&5Z;ww77`NW8Wv zdbn9T0qmBdXz#XIYvtCDW(4ixo3D-@9zXKxXy+D>#&fUcDn1%7xjK4Adot}2`3P#g zG<{WkQzCcql<2(uKKed5@VNN9{g2Lw|E~Yho%XM8B;oyT@q?E|jyg5ST+Nh?ZAR}G zF8ek2i8qPLKdp7R%;fpg_xgBbYP8Q06tmIJZji3T*ix1}Nuvt|6|3W=Q=a``AQzvC!KK0ru z6L6C<3wZTG{(+RMK&{0R<>P&dqKC#uwCQm`%MEMd<;C2aWZP|S7v=_!@pJs8Inj(3KgYMtiS|CulJ`$Jw&kIN+ngBW-Bk7` z>Yj3Xkhjn%<&>T$v_0#j4lVXSd059Yh9*UWyxOuq)eX2QTGu*urxjo{PW;!>dPL%l z$=bU}Z^7ArlzpfkAWz!tb(MLyhP1wsM2<2_W_42u{Xi|*NP}* bt<>U%HpSDXPPlgb#A(x8CdZ5CMVI|Qkv)7V delta 367179 zcmaHUdt4OP*?)FOxQ^ElAU6Y+CNVV^+rDYj)Wm)J_L`(g+WwlRO_P|sZPFyorS03M zS3p;TiVDo?K}7|#Dk3WC22lxuu6IO~RfwX3u8N9?x+*H_?>U!e=A4;{pZpP@=RD8% zxt!Q;aJ74h3HAb&Z(L#HPCsw;l3m-K+Z+}gzn_!H1F;feMR=)IN zszQr4wVU+&*j$z$7+1c^m(uxM8d(v<777cjETbbW- zi#Ky%O?>(--T{wmx9C^zO7gaGoN&I;VZ#YC9OL^h4c4Ib<(KXgCJPFT{FhXdV`oy6 zMifM$HU3xsB}7a7#*KGTlm;;OSHn|F{NP5K;D9<(%B&i145qCM-!QE%aER`i$3&ld6Ra*U zM!$)oeG4T~8tv&f!R|ui?*B!J;zg1K?~?t-**8;?YaDq5(LJVK&tgfBB9H#va1EGK ze>aNPMQq(lC`sK`k|TbfsnxACUiv*PFxt^U8%!$*1cS!)-=l-RDr5P3STnpks>)cj zfwu;y`l`T4vQ*w{tnyx5Wy5~t?Mw}rzj&KszxdA-ZB>g=Izyg%+TR|YdU_Q=-YG#= zS&(z8Z6nwBhfEEa<$pkT1FLNkkH3?t0rQo2ghb4VHL_J6(W!D4k@oK3RA^SsFSE?g zTx$c*dpA=9=8AX4Jm9G?yzk0&_T%?5wTjP;58gxn8fqQs?5tqM@A%_j4O)l&5$CnO zI{VnK`{VG`zB=&l9w|MaTK*N)OY;KMmG`Ta7v<4QpL&)GkgfKl|t5T6C-Nk3Xa3 z_H6)~WE)(uf63I^w;99#g0pu~*fs)|fCMm`{~~Z=id$Mp$5YDk``Z5v*NR(=$^R!% zV)9z;iRk~=Of9d~_~u`K$&(zD*CE$5^|^9a0@qh5Ww_r_9>F zq4NzLHs_!Ic(?}4KYlEn*H#^Nl-rgXmet$;J~(yNVX!WjTd!$7rtz>N?Ad=GrZpZm zw)`E-f6`HDvp_w3?H|KaCmjXQ`=oj~Z-JH{Z81iFiUq@a`P}EspL_o~SSvqjjQA(! z^Z>|OQxBJ&UCU$}K=!~Y8Q)beCF#D@c*s`DZpG*yyEB-^>qBHkN`_OwT3)bk9#(P<2 z2D=>nbF!Z2BYUtmsmmCVjdggXOCIzz+n;9-*RFIKr%aKG?iMmYE!Dr}!0gs-%+A47 z9XKO9#nalbftmc?n8B$B&OpxYkaD)i>^*IKk1ZuT#$;0F`7!T_nWXN#eLk!oJ3O`S zJYdT6;dT=?i6J0reaO(cc;Op&D{Oc@Vv#&UY#K=!Fwd^a#o1b8Z zX7@=s;^=#~G4$lz!5Xwam@6EK@n4rG4K90dJClv%4o-#UTf74$Pd>9eS$W;j(eF>l zi~+VWZNfK2XI!}9nAQ(BF!=9J92|4uMvL+5iNm<8XC3MHlqRo=M<-@#>#~icNm%W9 zV@b|0lyxL;5=?PpjTMuypPGEFh410urrq>Z-tbgls=NF$D~ubyR-^J`S>teCrgp<; z#81Y2UKnrW%*VYA#hg2NxCYD}ro@O48ais6z&<1hJ{slZh=iY$#LSQpf&2Nqs3uf8y?peHtfoJ;% zYhw!yzaRZLQD{R;pO&cs^9R$gduIDvNk@Nkw%~BVy$r5v+F)(Azi_(P_{41T+XMgW z^h|A&-zc7r&MlZO<&}eNfkg+lQO}XV8nlikXt{5?9qly9912`@Z+$(D^})r2O9{PF z9~9Y@{YB6RMaG&UjD6b-M<2}kg1Peg4CsRywm!I=h8?V3n_VG0d^W z&OX?(kAf#(^w}Q{j4d|y%oLlJ*v1mDYd*io9GMHa*ZbER<78!Kcp61g`PfT#@aV zJ&Rh&(~p=nN@boc8LsVFWc;H9d!%Kt9cEBzrq;69_*NW%wV@K?xLe9(*ovcaxm~0Gr*DJ)cbK6E`HTPc+B- zjT(E`1s1`gSz~Nlgm$`MOKsYrvHh|F|%28rZtrWc|u2amDp^FD=(E9jdAsRcr z4&0LG050Ci#oIu9e4Wv=nAT#!nv~n9w0-b@RFSD|tusgk*8igQl6mUjBTI&BzHv>kh9CaFAFcv)N*ziSC(TBUfN}|MS~o_B2&Ax%cxj^ z>FnI?NM~V`dGoWCgEeUV{YnHZ4Flj;9nPQT;(BnfRvI?agV>iR!>z_szm)s3G?=NK z3>%w+SP-qShAS2O>Sx0>VCH>>b6jJKZC3ABHCzMclT{c%q)nQkcse&+X7$iG)?N>h zHi$sp)J(NHujqh;LsRwcDtIJtz$jRS^|1Ybt$gFEGc{m7T#Yl`0waAD&UDcN^HR;<-#{*FjKbI}RcdFQPe zthF97e!m9Ov^rvROi&lU1}>_v9jrlX`dU0H1&+(L#bK}BV6bf<8#r$Cti?_)>9oy$ zAJq)kN;-|XHE1|`%IK&;!!)m7`+WHKqo*Jjx>V&CQ(*^n>nQj=;`}WSYPWcAeWu^q zB>h5alJ^$)&p&bZEdvksyCn&J%J4j{6%T;_N7fj{pTGNKOMXp~eon{-OnDn7$n*%A zpiRbjp*A@yWMi7nfMSzc+M5a^7M4d9mk=j97zDXFY)A@+d_1{G1u#gGd zM5bNH1f4R*pVtk_3OV__Qy>p*LN|O9nSDYgaub z$OLX8(HKP*jg=|c@-fJ+vo5-v&O6~TlF+Q7`F={=;H|5LY3u1gXky&Ak zsI$daiSgOgj3%%bvN7fODlopA$dnuPc6((QpH0npeFMZd^~>W6V0<@`nPzV1o7p6d3=71?dyQW<0hD)*BmB zj;}9;FMi>h$XpRJk(3%NoGz?L(#Hz`-wY)SNC5!6*~Ze?l(oT$P?@BU z!7QK-&jM0_&`%)vTsnAZl79UTp2iTW@GKw&0CzHgez$q+>P#1m?48LEM^K1|jTER1 zM6YYj&Nu3JCTIC(DsdtuY2>amHOy))gOjlEGJq;POr#`$Iv0QiNqRR0$bxbSp$?A| zDG8z51tE~6pA;@cP=!a0lmt**=Zs-ql78%tES_rLEF}v_NeJ6q5K5Bt!8bYV_XmVQtmgiwcP0VxS#jSE6?lD-eKfGRu-NJ#+aoB&z#=)C(~S zsKT>=6aZk!&seGguz`OuQJ;cYKpmb1q@*C4Z3uMch$iY2F$<`|vw#!;;L=yKRdN9b z{<%bbJZ7O($pTVR7S6cTLQkST2D5-FJPSxk05h7<1!>@SC+gRe#gdOYJPSxkSy*Kv z%r#0{VBn(+4;v}T<_SroqXh>3JS9w|BpVHF=%qC~btdZPgp&bO;b9^L066N(Ty~hp z67_Bhzy?0*@Gy}A1T2@_&&=7%oO>dP`bptJ1XXy{NJ%kNR5<|-C+f$NamD{s$pTV< z5HALPxYmi#o~R$jET9U{0#X2=3xF;cfP*mb4+?}3>hLTe1qe8SE^yhSwk7KOFbk-{ zvw#!;U`Nc@=!hY!-@ZhBuR!nxlq?_x2)J4<-R?l>*P5vB#w?%;&jL~afb(a*yxhWK z$p-%3M7M|N zWC1BazzJ{sI0r(%YFOhj3#h`gfD`~=`T3@(0BopN!5WWQKpmb1qyPa&>XfM}0$t;S zu*PE+P=#j!DG6X6d+(8qb9;!qwWw4e3ao~BLx~bKW!>Boi;q}F!0NjFp&Zc+!B_} zHZ{zFUj}Qua58`@JWQkj0ITJqt+Q!YEr2zi0I=0Ic!Cg$Szf zsF4By?5fHNXAJXTjlUf?bc>ZN7^4r}p4GO*sZ#>0I>xQ21d)=w&UV>`&Pmh{3V;x* z@UW2r09NB!@Q<;}oGui@s*Z7^4v!ltP{jI}xWWlA6Bcy=;Hyx=MvBFd#h+bW``n38 z1gkn`0d<%QL8K%X#&2)}Oov4svw$i*3rMBkmSqn0G8Y6ttm>Er)Ztk`3S7XsBH{v2 z2#Y#qVTqCjL1obwJg|O>h$t z7l84w#!~<`)KQ1Wi4-8*ArQ{HAoyU77cN9lg?Bbm52K;f?kMOKoy<^q$C&mYykWmpP)~{ET9h0 z0#Xt}3;*>%`SBo{pijgspbF0dQUHKsraU6WfNT7@1bsYaVY!k8q@*ltk`ctf?@7?d zU=~n?X8|e6h4tn`NNJ7lPSCFp7Hd4}@GKxDA?!C1<{ItCVc??-4;v}a!0K6Y%naMe z=!Aj4LJ1Qo$wq^vVGjJx1pS`%F0oId z=G5EPh^6`N`C@iqozRg^bruq#943h)=4vY#EQ8hAR4uR#DoRORnH`#*P!I!?2&{pQL5) z=;vDDLFhA9s2RS)u0GTVu`sikQ5STTS|g+)pV5lU$i3p~SGACea+7I6cd;s?{bZ^! zr#n6=+bTX|c{fx{m66|_lGZ!k)rl3xPfw)|%dJ-%q0(=q6;F>6(2148hhP=)dORr^ zb?01NT`I&vRV<(#Z0d02utG?Mxm3ehSFCa&6{(_wI;~=j>Y#9}%oyF3YTVZopV8Ul z3bR1K_*StFORK!>Di$zy0?y=~_@rRA+3`k6PqHUqlys%0_4T@{l^7#Wry7rS$EP23 zk97`a#K`DQ%{b~FYB45c6%93goqMP=F(C}_MKrR`>$I7f83#;!A|NuODo#?15gXuj2K@CW-`gYy|r_oR~NJ)Zca zc1yw-7=>A5HLLlw;%ly&*~XHdRO5py@fm#=T?MWW;wxonH4Qss0eiILaJo-Og{hmV z>HEx-$@BIVAr#?I5%yHl0o5y{qD<<}Emk6Atc!z;`m-r)!f3Q4jDia=VXUDO#+|z? zwybz=kn!lb6gK8&v*(2l2YQ49!8L5vj2i?RG7AG9mn1vUv7-{)-Y ztag_Q8TVbHFbRoPyU}w6=8Vso^(_`#&Q_f<8Ps6WO5f=&P%Es5jE{O#)Azay)nGoD zQ2ShS*^*7I!E{iejEW-HX{A~SML(xAR9dIIy(*)mm-TT{t2GlEAN3{2_-l6)@9UmB z(tOuli*kk0|8gpsobHLw2)RpC3J-#{Br6^E7rq;=Kud*KsMZ*9A|)f_E>$6+jD8)PbDAtq zlQ3rQV4U!+yK>lGUR=}RR$bSR6?i7hZs zsqa_9wliPY57x2QFf)oLxr$8@Vxc;t?nX*R1Cw%ec%G07bE)h+isg`+D5N4xDy`aG zD%U8u#9=~dXZqb3=+)rA z5OVo}-=wHU1SzWVT)%?}>7<|L8I;vEg*wrmD8HrJo}l*)O!CISf4=py6Mm%NERZQq zznxQ^Q5;OrdjWvGZHg+)ryx=QFoXj94*qc-K1^s&ds~7Y6$l~J;ZY$4itsuDJAgN2 zn}fE{Ju57<+7i$c*xBzy;iTv#gx~s3qA7k4uCd zKdLZ*fJw0q+pwFE7*G1IDh%pGuP*1i1)hlj2F-UEwZt=qw8yo){t#+4d^OSTJE%p3 z?~x5;z^(9*qzJy1D8$HPw|bIah$0>jDulgBeexs4i|7C^q5yK4M$n|FMkqx5w|X*? zBGaiERy3pz%sd=1#aJeh0>_7nKB|H5Fp5qlp4&Xh-|=lkU)W!GA_Xe<2$k7Iri$6E zI}`NX^Og7DC0K6^MMOyZN(4GDU! zuo*!eh7dI=YSXtFV_*};YHrhyT<#@OlFgzB9a?IbwF!FFK=Q(Eo_pz2Mvpga>M`u) z96N$s?hR5Bw*ROTdv$`oRD|Q(q7;ZpQIi2qk@0b!0a-z_iB?hwDalg)F{hgM=Wv^3X{;yhH!OW2Q<~iX&x|&Rdl>l6%frobRfVVI)b=3l~2#>@Y7GimeodJW`VIdX~V)Na_ z+J#tDh}F7_9TZ}|M($gSyI7kL^Ea|RTGH4GGg8v7!*UU5lsi0#6eI`UUtnphWGZYc zMl$+&;4dXnhVOVxJCfEOe1JgpmC=+gd|tl z%2mQU*@2>K8I4fgIriXC3xy39MplCzA_bzqRjVz_n_j7C|-E2T_xvz2@6xLVC5NXZo$=ec<0k9?9^e ze%A#!CqZ9v0Uz2lUJRh$Y0RVL;h$;*qgcJaP z3v8H=?5uW{+Sz#BFA&10!-F*`7Hl(lY_KQ!JzrQ(q2HvaOaLjKkV!ltL5r&-WXxJ; zC!UJeCyIQ8!n;YqJDxj|>T0ZBYyuY^4kI9U6DbJvE)i&iLGz9ubh{^cL==UXif<3b zK)v=O#l61KpU!7w>LAbE8JIZ#=gz!E;`P4%Wcwh`y>Tr4kv*j9cF)g$P2Q>P@f59(gqal-`M#Eo_|J>mjk>!UkE5mU^ zF$#6s1rYF~wAa5+h6tDxg$N?WQ+b@F(i=i9OMcj-s73@Su5qNlHuEKT|2p!)X zs)KJ;+xKBddxKx9?)EXh>JH%?px5d?B zwUF{3!fepM0w%?3=n(m@yWpJO)k)LKazjSn1+z}r6k}CmQmkr_;{Cad_vfrMI-)^0 zsgnJ0$idD6BP-M{dk{7$3Kl_%gDvFc5JfKU&kmsvodK_wuygfk_B)AssM#N{2Oww) z>qiv;xD}%AFh(4?Jp(@ZZSTM@;`I`t6YSuRqKBSha_S}xMk}@h()+qlCQx;BRTg#=|_crrPW{#Juov}==%HG@N>*umTc(cJ6V83}T(aPLzvf1@^j%9TQ@{qp~}Gq`)0m zC)wu&+8Kaa&&{yx3wHvj!X~yLQUCzYC@cD9!artCG&hZAMs~&P2L(dtC|fBrT3ei+ zf>@jIG<=l5WK`U0CSFF{C&VH`tjS%hRft8oSX$l}u4sG7uKPTx;pgC%t`Fa5ljudf%*`8v5=R<*laosjc)${qxe!lHuz*SNgD)flhW004C} zXsYlR7NMBg5xndm^Dj&JGzsXf5r#uwqPK?Y+109rS{T*XAc&Y0OFB|CW6$;59uNPY ze!pkf4}xRE{BT15Vebw|S`fflcbt%u5jH8-9Vf^K_j{hq^0l{cEcy@oDP?!OUMaAG zCuOV_KFpMfZQbEBdEUl+|tKo_dQQ~CO1F&0)DmuPX=mN#_ zBPC@g=7;QTN;m3>fP0AHslU_hfQ=D&M2`bOL|`6;kOD>Vw(x!461eumKIpc?Hi^eX zUbr7NdP5%c-2a0Jb_xB5J&g^p5dsZ*?h1Bc3~Xy{QY=GVWa5LKC*LlPh%TZ3uwy~V z6#*9Q-oR-YD~J^Mf1j9vyBL7lCE$!-xWD`X&)wdB|nK{gp z{Mtn(qULjJyq*VeG@pTP#UG@=pL>NrA-HD5ZeufSU4%dHKInPq?PvOp6;lHOuhGWQ|R&mYJiCx#OJhy9!nuJ!5c zoKG5t!Gi?+!;8L7XBZpdnSf5>AA)Dw=XLVrL!NtLS=k2&d`tZvvgvD{{?CS(4fRY8 z{`PS0?o}+UJzy=6Xe8N4hvk5m~adE7$ z`K{y>g;wX^&*SxD;2W*fAgVDcBq;HxDq(EomO8kl2y&T96e$P?U&fCzn`(Jh!}1O` zX#l?S7!LKoj}!plkJwrBy3B-_OL|qjzE2UrqpXZkJSc?Y)^Nc0|ZdGDDNi{>)9Zv;fsS?qLSLA<^Iqq(dYH7RD4 zL1erGb4Qr|;2&YpsLy-=YMy}dU6G;uNP!}}TEMpRf%CLy)zKP&M*#pp?FFuofnSHm zR&7j-O(bN**FDKYf{4Z944D*j@(OwL>z<4upRi~A>dNvdtaN}4E6el|p0pJ31Re}? zPcE3AkR~vp`LFW#-8$Ll$JD14rSW0!K-pALMFu=zDhp) zh9~2-vN^P4)NGc(Qpg?lMolGZ*ViWiAqIGZhs98I$&A4q4Rc^A1OTu@8X#o;L^{|< z27HqiHnXS}HYoT&fzBQgw80{cniO@~ca1`1WWleftguhbcUBcZWecd_H6?zNV(}xz zOZjRNTT~**<;G2l8NZIf|2O-s{t{R5n{0KT-3_-&+1H_>c>N-L>>W}`dcNUFdlk|M z&rH~g*jnj)7&<*(KL_H}cnGa9$HFE>+agHuP)>8dqSx7Tk*sl7oqibb{G9vY_1%zj zKGpCe#Wh;M27T@y z$RRI&3tk=zTzBShV!XarZZ3^{}paL}7C8)~3Im9k6iY}> zbC#UL9XuM}7~#KoR}7DL;8>FNgl9xXbtw%=oh7s5^=g2i zhoR_Lvg-+`lbSKqlDhW4%+DQg57I1_0E)A5|DYz@%8- z#x)bqlb+kP&^WUEImpcP@lIzh#Od>dGhtJk%?L9N3V7oQ-hhFe{JYdAZf010-iKt02j0sps zY{t*wx%N-sMLDztF3GbOC3u$&$TXmUkjt6^H{H3&U586>SZ`TY*ybKShrl-X$KBQX zgjxvI7)aQpsN)f&=x`$ccnap1DnA_n>SpdloZbs)wD)}z$#M|Os+;We6~rzIqyC9< zwgM(ajRuk8WB6<$+l7RX%iT07<|a~H<8-3B^L8Xo?-IWFCdt0| zk%IEU)!tX+9P}M=dM5x-^8r-h-C$C*Ei|c_bUY1%K0JxM_G@saxWMVm!8rY}a3*4E zv(bYTICHlc^qmYq9rSH+`a$80FHeaEDFA>CVxLam67HJnT#NR_>H9Do)ZyVE1&TQD zG!@bqR2N#~^t}QggettNOp4~?ClMrhU+v<(8$~Wt@lD1UsEQvcuCaq_1SYdW8?wUh z%;)Yny@BVG)IaS>dktL2Wpu+VXID1G>05;BVYJ2kh?o@hBZ?IFV*~fYH-(SlAv>oz z9oZ45(;Lg6E6KZ~Ja^v?Ly}G0`EXw%KI(b>zu`Ill2M+!Ziml%vAsfef!RN#l(u${ z@}&L0S{VB=?APg+(T zamKJIPOs!Kz~veUMS;U6MS&wo@$4)X{fbFBY!( z3ouNYrT|jlnmL9UfI5Iy$LU4FwIHhS*i4GL7A}CdyuR;A&+-@2Dp4om>NwpG7<3|z znp&&~3ehE&d8Byer-~7UTxK^6xW!P$oEy@!e%n)>+l0l-E3z@R=@%)G7=GT zFJ#YgmCF@!Q8dF`@EMBJNO2d&GN;MT|G~{d$RGtj@Fc$rca}?spomGaenpC7Tuoq0 zi_b4K#IYemESxd|1{w7O&+x2MTst^T^*>$s-w4-)+DA}HoPJXHAF`?8Uztn^ zP&KtUL9J7$g-x~L{BVztkjj~!x~&7fV_c5}z++Db+4Tch1aWK9%T&~}!R$D_U6=|? zm%B1(QY?{3@wDt0i9{|>q)D+vB9%UXZNpl)ijVLbPAY@@PDQ%Nhd+jw82v;xA3zGm zG0x-DcUWD&98|s;L?LEBWKz_A7%A@Y4sJd|*fA;XWSME*oYMz}z&!{dm-!q<3c|!+cFNu;r#98Dodjo3VKag{ z3?Yh?gs{;CU_zW;BLI9ecpqfcEOurA6Dx9eT zu__@J6k<*8VnHDm5@Oj)T+vntu`m}KS>Y~LDa0Z(+GpKWoengqA!+Gm5@ zI6WW`0<%QV9cOatPzJeqBJAKScIHjn4p-~i=Ohp-A@$F|ixuq8pKmY`wR1sajz9{} zBKQ3irrnwqW@u#8PvPgXh!RZHq*$QEB2ZfS&-EJ@2miNzPiBwMYg~@CpTL?7X6bqw zKm=CfL8Ks4;tk}dyn&U@RE>ibS)?k2I((d&6!kAsOa?s<&$^?<{Lw%~(3z$&aN-p? z@Xc2G4JmL2U$5T80MsOA!-6b)37`s(1}Th&e$2`LnKPQ}vHEz726cEeCdHzeP5Peq zJo9dTCI0>j|FHi!2H}hWta$h@JVy>Ef)qG`CyuUFPG=w-`1^5I$I&@#&zP|lE;_bX z7l`$N7~2KSodi1O8D4_fOk< z^+K%Ph+&`(4?EL=5de)$>8{M&b9oV7Si6RzvbiU;%euON0gNbZ9+7+wUh)DhO ziRUHQGAwWxTO;fQgjl<~ShWxf3bE7fVpT#cB*e->uD%6@SXhWf+{IQ1v4{{W+31Q^ zDa4{etleE~sSxumU)16j>99yx_ z_j9q4W!qfM%oJjQg*ZFVN)DP7ohw2B@v`T8?}l-Sr2nulk!ND{>3~JIdVw+p3n>_3 zcxdVMsK9Q(FBm? z@`PLzUE>3G5xUJL$VDXmH}GqBx0wyvGj z8+G{uD4&4xm!o|O6+jBo#y++I|33oP%iAZ)Q?YtB08o2DRAJ$SkmA4Ihs(*sufU&U z^|5%=l@F$%zBuvcSc?@WOp4(n#aF&dar|L7a+%ezNwEwd#aF&#u<{*v#dBv;d6}71 z;`uGS(C4pE%pxU~Ov7$x?8jsE9`Kv_hAKRElcH_m3i6lV!gIt>z+CD`30QqNYKqZ} z`<4hF!0K1U%F@?tS|uHzlI2GsW+#9YsNg46HibJfAlRk))_0RTSsEpz$u zQ+ur5P60G{BV*|+o`;@$rr&;^e&13#bAF_x%+>65I`;dd9?CdB+)EGcY>k0oyXNRw(6$dC96C>Gg!i*6?lWY(~kpc(sJ)r81=3bQ4 zg9?00TK3s*Qq-LQQhY`&P5n$ZzbQ1l(~yd7k1!t(Co#JDt9rz5DRdzq>v>> zUi=*l$Dq*PZ8ey4EO?VI^g}|d-Cb;o5DN>jZg;UfAr=v0eePltg;-RGP3&;ZRIU*7 z1$hd#xQmS!Vty_*a<99XPlyGAa&ZMsiq(3M-1?ShL{jz&I*QnePe#2(caER2UG>Ob zmyeR}iq)^jir)-Ald%IPMX`gQkwtHLp2#}XO0g@|Kf{UDuK)yn$;($IL->)BD!J&0 zGh5)pMF3y}1XWmJ22F~^SJg~LzwNpG;jqc3>r@0Ou!8SIl|@V|r1Wig7dT3F@A2F* za+}p+R#HQ(-X(J2TcreGQp~qiB=HZPCtj;#`Bih!7^`=R97Ihew#ld#fRcB`>~(DQ z`4{*Q;W?Q8Ha?LFR+IWaz$_R-43?BIQhd&k1d+ycBR+54~mA@JIF~>KAN&P#T ziBAJ~>;q5;E+x-@peoFu{1Z!w_um$u$2FzhiffVL&z`L$<3mfF!oT|=*!`j|Ogz2z zxK>Fx^A()V^$whoAAviSDxbS^M1m<*V8*E8)SUr;0+?{U1O8*mFLv@ffbWTrU4Qo6 zgPluZe)4A!pSMnd;ohw8u#!U*Z%)=K;uPkdzW{oLg3eJoB@{zJxvmV2U-HLGUVJof)ibpFO9YuH*aI_YcKa`D$YZA;j z1?EhPYL@!5<8Od7xm77C|GNsb5BRaQaCee-Fv)q}lZqw5eHt6Fe7ck*kN4^&N(j_M#XrDAwA^KQ zl}j+^6_|O89UlGXp8zwa$-zHP`OQwVFZ>JmjZKPK>dtD)?^AJjR1=O`QJqlSiL^Oj zCjA>Sy5fME(V?v8mq|EB6`aXc&Yu76e*kD#wK`pKpuG}MhXS-^U6^<$t6lkM76f*% zmi#nJ?aCs`UlDTDgeM#L#oI}GwkrP)Fyse5qb>|(~yW0o&Z7QF`9F|} z@CgkpUm30(X7ULuu$2nToB~ChcH^8}fT=GaXY*C~xCy{-ck(}^{K`UyJ6`}l=18$R zT)978l0SXE4cQ?^w}4&@Wdhn=ad$fxH6dq=aKP5wanXPOl+xi`gKR!|cZ zZ!Yh3SbeepCb89UJD&FrWhvxy;(7^coB}ls?!)sYD=IXIeuV(n?Q9#$rr4+im!rUK z-0R%l90Dw@sYQv9+W3(H{66QFc{$}59&pIt<%g<1dC+Zi96D{K>QbV-)Yl(!;B*0w zc0%RzjF0QKV9qNrRS`$W)lLIVtyF=U=EvBMSO{A(8Aj0ur}OD!CppMw%kvx3vKf!sJ{ ziPIkbX)*ZkgMf1us{XH{4Rvt3dhtzuszbx+v%zpvEg3XNMVdhQx$6`@cj)9r^xLFsW`In$#S z%t{5O9FAi44m=1rF;k(zUQ$2&6bx)`R1DBuRsug}JshI!HF9SF8umbgjKm|GcfoRL zk>XNS3n>k#rE>~!v}x@MpTo3BF!L3dr8#88Vio4|`2bV0le9Nld=4||qUBAg0yC|g zWG}SDX}D7t0L(fkzZdv12g>(M?@~v~=!GCZb+H3y8}MUhEZw7Ihj&=PCCeY8_|v@9 zfnQt(_%&OVvf^QFl3)x4=FCoqJMS+7m>EqDehctpRy8@yjw%QF6HfU>z>jHYQ{=fj zTYIgjCMfQd9dLBkKNkZ`?q_bbb4|h-r{GjnIVP~@DgY;3tIpWmpB0xae{vL@u3B=Y zQtkEomO!`*LP`zNYRIMh85@bGL6tvC`AfGu2FtIPflhss}lHo+Z^&ulz*_@k)S7* z13!3PNf3AE+*K>C6N)=csyGcRX$8PczNEOrVHWgRFh>=b*2{`j3Uh$M_~9HUEu$P} zp9IsPz-%5%9$TyS;|3JtQOG^4Mt z0?V5U9RqbM@MFqmyNvh38g6Y?B1DQGtQW>>c~6KduIM z;Kq8TfhYQk1XQm8WiM6i(r}+%1OAL%rX-s3%YYvPx5+#5GiWU+T>IPs^bg?2z}@eP z0(Yn{+haYZR~#za;4u7b4dBC-GV*f76I7XF!K_qZ;I?)p4>W)wp979>r^C-rC_e;$ z*kv06J;0BF8`Ks5DbUNc&;S>uD;9WQE5=wJEmAz11`}DWIyAnv4tDeOwsSboeN@aJ zZPE=EKhK?#pyn%3^nP=j3U%umz-jaMD%&HTr$u8euSyjtxTo9^-p)F}S+8!ODgL+X zA#Au++@Vkk4O;s_#{fxO4+?MxxT3&4TIRDnB8o?FmTsVd!Iv#<9i18i{(dJv8~8DBleW^&)SnYnq2ZVU#Qixs&WdY-;!o3F^3g`M z|JQB=n9E~b_AhhBTQK7oOs+0|4ug*i9#vr`Zvr>ra%*Ku=I(5jaB>u!o|9zxAr ztXsl3s$jsS)DB@q z#jhPFNWpFuXFtV(OQl`nTPxvgRB$TRIJSCAngIuHl~!>0S^kKGQ?KAulJsj>QVVP|G-zWFI|}BpR#1Suqa8Ea3~11T-AYC9 zz?vspfh|%TB7pye+VSsx0YyhIjJ6dWA0$^LsQC&MTqCXIf_B2lec&rxA*}?*efo5Y z%}O^9?USjN zM?~?cbDwkk-*W(f;Ob}vh=;aJ0x}e!EzWdz15PZxI@*?Q8r@3=p^e@j?b22UZ830j zv?J%k4pGN0s~&U5jC?D?35sKFhaD^JRltd*7e(8Q^NrtH32K}IMQ@L`snA$3B@Q?$dj=L9ss4bhHV^oiecszq_CeXb+j-#rQVa3!=$0W6bnniZT*X8`?Afjh0&90m3_;K#s~(By21 zHDl3;+i3&b1Z{t!`Qt9Ca6{n_Wt}#Cnibbp#UHo>+Oe~``!qOI)}lNM;Q6VOa5gG9 zaIJ!UV4en;7`XabDQu3@DdE&BIQh;sU|l!hz+KReA9o%+1BFU&gLbKw0%+5UdL2$( zp*r;HXPXW$%7*Dyo>nP-(R-k6DzqyadcZfh{aL9ojun-#Rw`KZ9%vV=IcEV2u7Fk^ zJX0fa=b!;Dfp%3hz*m-=<|F-fNwG` zJ`XV2c?zGy_=+r;`3g+6Do)-1cNE-*Yo3*tF?d~8NI0bm4&3*w$Wx%_FL+Y#ikf#b zTW?R&dzroWDZe@LKFFD2`8iAJ19}s)H`B54e)J-Qd_JH2^rE^qDgu5CT%GLb8_y+Z zfXkAN(i!x3KKy<09m<9)kd?cO?2w=I zf_K$^04KUpMS)`FQNRRh=ZTd2Ymlgh0iltHrI+R8cSnCm-3G(;?$k}6ejPu z!siE^r6m?jw*uoQ`F-k}qf4&AX}gy~iI<26vu+BOS`eM&7uP%wVLLUQbse$_*Sp%v zu9LFiI#(O}#T$TLa!PTOqO76(_AZ6b^HA}rWqrRAK<8;i9AKv9X)sNc8=lv((1x-I zasw6yyrM4E30%`^^YB%V2AiP8cJ^k=~2|*Q`ox9!*s81l~KB zdUb4tGD*^;yc+{3aHT3q&*#f79eq5a%K27ATNn-w7P!+?k*7c-Zv`N@##CwGKuZ=_ zK=ld`TxzPwQ=kF2L4tFaJ3RfE@~f9mk7ct2PCXrz+iB^3yGj*s?u>7t<;*H2 zJ8)~M<4xFm;Aj?0-SGaBzVK?zL*)|EN`{2b&w5u%;sl9u{TbPs-PnteahykwI8CA8n9 z=od5sCPn`aC}>jj@54hT#XhKllr$d8&XaTTa*_5GoU_Scj4{+LUK|Ih$n zk6W*7qwZ$uJ+;(|yXQ8s71&Qk4AgG-tS5B?`0DTdllC<)lOMOtl0Plvedeu`v_||$ zfvcEydb6c>&~(T)AFRoQW}X)7)PY*+gJD!*kw=h{0O-A!-obW&q0FVNl1oQ#lP7t& z#?r{il{ZEI9t4SK+NJ#R!S^H^E3Lo|iNFE}t*qyYSG?%`mEKr#_6ZgX!NmV|Fbq1l zno{{`h7Y>S67oLgANHVcv(h6pACKM+4o-9OizvUr$%j9!f=9|*6r9IcTAG(z0qw?~ zJ>(1sE}bL;?oi99BUwvL3F7UK&<_}WWi9D{2d@c?{8x9t6iDxZ^xo#!E!9I?Oxd;; z#}7mgr9cB*@TmM{5%12$D=oi6O1j{pM@63Is}F#**6pO=PVN<5DS6s=NjP;1j;}!x zr!bAF08{DYe=806ZBBj(<>&5j;3V7${GiI`?koseVO1&aw5#IOo&5k419vhij}|#j zqlB}9;ot(-)o35~OYQ=10`OXsH5+h{ixS9E1tg+KQ(v;~rXWo+2xWItcAJC!;yu7F z-es3wL)mQ#n|pHbGb=3t#gpRQc96V#DTu=6Ah}f*NQnaCZ?=QHIs{S+w<;Pvv*n3l zGqAP8&9=?JWA}kDT$)Hcf3`MaH2N8|0WM8c8n`p(C1<8HXK?=>x#W1D{%$&$?c5`o z2!qkCTt6x&iFCG7;@u0Zns<9xZI+Y;(<-7xLp7`Ova zI(1R@6AwXBS9eI`g+Ko2S!?C=i(C1gCm>zHhl|~z4zlwhzN}>YnOnN^YXDhtSbCx% zj>#%i3Mf?i3zG%nSKw!lNd|wtslR9uypW z`w%H9E%d%V?_K1h$N9E|W`>)Y0q-4wnSooCUhj$+9lyl0GR@V~4@2p|J$zCM`Mzj; ztrgiu;i@06$D=WdeG$E&&znXDjgMh}frtsa?-58?=ooqG5vAU9fgMZl(DM#(sGNO7 zOU(+ISLV@dgiVTOBVtlC8&Q*D-yi6~{W?8&_)UtQI|4{aT|@8Q^Y*iL=H ziw?7CGTA$l58j$bwTHF7PGk9dn7WZ{Uc0c)%Fzmuqo8>`9t|Lbl+*l+Pu5>n)J^3dp=J(s=bY8Welk zf)>Q9Uk8x<)5fR|F;*}0q;|apQiAnhUjIg84Il-vmWgv0y?@S|pp+*y^6WRj$hk98 zLV37t8!RJ)d5Q}K-0|kv0}lBn)Gl1{rZn(zIVEHP8KmXw+K^#TK=2W-i&!SKgu+OH z$2f<#%_0ZCi8Y%{=={wSP%c4E#spkKIF*CY8e4*j{T_=A*$*jY?Eeq|LOhicwvW$Q)^pBN*k%$-9Dpzv@PnN2!g z=TLYi`0lr$UjygmRgQOTV3TFxa*TXdgS*?vt{2Q53{6h|Z)>S{Mex!#u!wUFy|NAd zUQ3>9IDD@JA7${k_X=E4pNCNV?r+n50sIAveRucL6VL!RwK*E<;MA8A!3)-;9F)x= zr=L)B@U8Da4vH=r`7hxBqBcvu105dfle;I3l+-=+#x>`8m-o`vEmjVXieB=Y_nxr= zL`p(DHJ`lxq*c#&{w{wKLWPUZ;vbzO3@sQg1ugM8$MGKcac?rgBa53%rZB8%oZ z{@}R}U}E4VEv4)@&ZHd{PMw0&m`&>5;gyHK(KL<%cd~O7{xfXyu90ABMCpXa%IOZ9 z6kBPLl1jb0lw^CkL0q=BdBI@K7?Se~EHLr3`i5lhGv+pK5aFsTqw-_<1XldtuqEh) z3#{Ogru?!mPr#I&RsxnONYlp~8LQ+W_Wt+4o3`=fg*UmE^ffNN@oSer%0>8L=?W;E z(fa0*>(6s#oY8*zKLFbKiDZgH!Yg#96bC}+PgEP-sPb#{=&jIs<_y7PU&J17~Jj>R*Z$D3a7jCq%^)A)e^*mk93#_`t4TitaF#-JO1wgNxO`2Z7p~H@z%PBj1 zj-7qii(r-B(BjRIexl-uIp1al)x?6rih{dZNYiM3w#CW3iz3mxQoQL7q~!-Kq^(MN z;Fc6JI?ej{6OFd^XW(jifV}#?S|Shp9QeHf+nCL#+_HuCz%Env^c~8dYVf|QYqy-K zQTqF2nY=PkSGNBGf}&T2z_0uAT+b6!+F>EBQjp5ZTglUpD4WFD{|}((1s*mi?$p%7 z7Ssv_s%Ei0v=4p>Lj~^ca6Bezr44Xfhoiy!5;Va59FB%^+5k6lC=EQg@kcC=%9uyk z`*1afBF|TY8It@0P5cHnQ&|m6ime7F#a088VygjCFm`b;R?bxp0(S`zO&?JS;J>2TQ`>-vjUo+bSd0Yp`d5+bykx9M09D?yrP0`;C*<>1UszyO^SADz@*sk ztR}^NXGKctV0z7kcQD@&lOMmT4Wg%f+__D76}q}>m9kgjeZBg)71TA4d{Wz5EzQ$3 zU+=yKnYk)+ImFmb3*xc@@x^Kz#K_m7FW{mIYcKR)EL9sNhzlNZBE@?y_=yxL2)VjH zIr+Hu6&fJjWO*6HDGB1NfC!ez11N-)R4?@63hzCR2bh(kA=F5XQtZz&GvO0fu&0EX z0A3uyJPn!@9j76rz$orJtLfP#MR`cTk9=cJTHwbphIvX1NC6x^bjhAao_>`VEB5z@ zH^Kj!TBX1FbH0m`i9_iBr_QC3-W~xyIx|Fp{IQ5`&OxwBP?sx0Jy|JvIC6H)mbW?Z)gvys8z zN>Q@W0dtMQw5xpnB3Ok4Q?GbaLfYTrf9ZmA_Rc?O_j=kK>~FsV;KiFAMoTHbZL@OF zq@AAlE|uS+@Oeze87rn$iZiXMIE85g7*8=-_m1Tx52;o{S*f6uE7BBX>3aau>0qb+ z5!hv<;9U!wN@xF3OZ{2cybFQO#SxRD!!~MCbT0Ol;TWWIu^%aE4AP4e=%1oW&*iC| zN8g8ex30ml7b|-oG8fok+rtj}faclG{Ridx8|4L-&laDbwURsshq8H30gWk$6nKh7 zRk?r+`H+{sHF+M9pk@lFC@QmA&4-r`02D5^^x6Y>8P@)e#OV)VE}%CWc!wx+0e?2J ziH(7PxfW z`+tI@H|$nAj=yhl>AdBCF8VJ4V{+h50`l6y7<#xR_$$dz{|uR-HxGEfs_chpsjQ-H z^ojv^S6KeOBW+9n3wTpD*YWM534ejIuGy=2N#)N1KL)<~FP$kV`{n-w@oIQ+)^>QT z2YyVXrB!*bP8EjzmEyQG6wn6vLcc@fGHrlw@;e$v{|y@8EBuazt+WBY!tZDp@i8>O zclR9)GiU>RY2VS{`8zbgxAh$jf1nNUHGN0JF4_R!&nFql>T&Fee?S9#G2hWpN*mxC z`HqH!e?kL%72nbD(Lc46|B0C2!>8jiYErDi@niEa)4;EONJ&LXKbP;l-7&ii`j<9b zD```TC;@T;8st&|U6DYifE9FYzD-A?`t%5Qh_JvqSd zcJlu~`F&3QF3O+SLC)l;Tbn1w0Dnt|!=F;h->dR}!e*lGK5L5j2H(tv%@4Sw*#V_+Skm&+nmN!V2?w3ytMMoUfk~9t+hL5x>f6c&QR$sRq&Y&>3 zLT!WB=*RIspc@t*-WQVeeLTFN`TcboUdW_qcwv*G;YE-FD^2+F#tJfGyp>`6?f0MK z!D0AryE2Tq!?SK!4yVd-w;m&&Pt>A$=9B+V+ndMNe0=}opZnTwh<%M9qV}cswrDM} z)KayyrLA3CU98c1YpEp&QbH1voFF102$BfG4T6gx2!bF8f*=SIf*|-lXXbTtm+0s7 z`F$V1?_bG1=Q(H2%$zxMW_`gp4&JrtY(mB&FR5r9xdM}6scoNZI^!Utx({R|WK)EX zwK9a16E;%H*j8PEJ(_j12TTfHEhsMKnT-^?$B(@3+o741J^w(;QhU14C2Y2}MEt0+ zr5V%g(M-ysg;EWTy`JuHhEPa4Y9q;Ry&(Gmm0x77-x}QBuUpZq213e?!6WHF{%4U? zTgrSuc^>jul6~PJL2T&@V(49(9Vbnq!@kmGDdYh~EEdQ!wUt)Xznt8TnlF(WNjcAH z@Diy7yV>izM7l2}JRl3AEp54_8aLeYlME7y_rPDOZSW^^h%}C-21pr<_U%%sKdY#X zOCdbvJ{|Z9YJ9Rx+QW$X%cTAqd(0ktAFEDbOwT+f0BM2JZVA=yA0+j!#~#JUO#XuA zt~QiH%6gof>*e)V5B{x3oGDH$gVN&cz=05HEO`b?UaWDS1WSD+G=677uJJna6oCn1 z{}3=?M`0mg=4P{$57_V6<)Fjv3YVi8IQy6=RiTl|${<>}LTak9F96`6$NriMRt;ZM z`8Vnq$}BA#O?_5MH4LzA8WSqjGkDRYRY>OmHbQUdw+fo1;uT2NMpsv%jX-n`1JTk(tHY!WXCMBt1OCoF&30ERYmDHq z;x10V?0H8mt0)yEAN0gI zpRD83Ouwy@HX1bc2?>ncTS}@f)MA6AN*Ni{ZzEc6%?8Qc!u2~Wxf=P0#Juqq{zl1@ zzK@bRN?u#V56{DrLZvrKou$GZj1nv5FQ#`JrFaQ%dvo~kW^&cwwQ2?GyIHCzhulV> zvr+nu+<#Rnnld*_X$A>Cb!QAplApy5$J8xWD#@w+x4`1qS2R4zTP<$kY+H--ErqrV z&l0WGA`Zoj%A(16p z?NJKZk=IJ6%1-?2rRFmi=tiJiiAMe{48Ry@(1%jBMCnf^8Qr=vLk=NnuT+<{d&9k=-3RZLRw`2HX*!c(%{FN4+cX|!sNWN~sEp&n_9q>O z4@0=q>;xPf!Xd8{C`Y)?ERzBzh$m9OL=08)B$(zKEM+SisVVJf^GRt1E5vzWU&&zVjRiV*pUtZg4;=#m}h5zO4K`bY$k@rUL>%z7p zuR<__^dD`j8~{WzMirhUwQq8WQh75c@KJFhNai9 z3H3YHAbaBq-hf;0F9-gkjBUD4y6_L7ggrt(!8?_|ucqDx!=i^c`&%*1dRF0-hC_%YJN z>1oUvsJe=|(x;N|6%%xLX^>7|rZ1i_ThKe^r6=egAO=5$`R}_-*-yc=^x7%;V1n5C z8H|^G9uD5cE-b0|9Dtb1l=>V1_L(^V+NZ+0EqycY1^Q+vBov@;`d+5l1rVQqN_4%l zFA)-f`0yp`@|UR7D=;k`_v9;x265qQFmo_FFJRF3~6Nz)ZU&@;v+wDMKy=t(E)ZNz0&TunT{kHP;{`Lc{!20xvL~wo729 zq>Fy+AMFfATnss<*A9~r^Sx=0I*V5T1u z>Qr`;uQ1{hC%M1IzM_a_{{t1_KN8N{xQDYPIOm?XcL-AM zdCD9oj;dJJg`1a^U1R|1-Cd=+gC`h77t6|SEY*v$P$vFDkvka041Rk#nTO1`%gHQ= zG9id^Gq5$Qyb90+caL}=JgcJo86)nk2%@M1-2)7$1jQj|RwXXSw6&594{#)nhE@R- z0guOCbu8VlBD-4_$qvkwT`2rNvMTxRW!y0=-YH3ItIE?PJmXW#g{8xj7A(e$agm!^ z7T(Iaqy8;bGqIX{S!16M#abnEf7D`zrXqYCHB47=tl>1(x6B_P_hWw<*O))y_g!sWbV)#{N-d?447Hbqh659B&b z5cf>*EoJEF2SVAb4}`K+>d1DUsg7_;*Gs7tt==cqSFTC@KSX4CVy+CIz1NgES8idT zkoxi!=kzo77Ns!))`K1QjIKA3T`WA0bkwnzyQWH+F;u&gGKS_il$%(%%@?pXyT%#8 zn)(qFok{MwFl`EsFbh?k~Or;vj8zn3Pn;Oft<@{6Wl($eGL*1L82ZBmX&|Il! zICUa5Z7TOh2sc~N5ZX0HZ0WukG1tAO@|RLbHVv4ddXl@Z+>M!7`HAXeGP|f1S@`5k zUd_D5Z!D&?G})~%s+^l7XVW!u@(^dv z_!yI`)FV(kwWij9+-{)?$^^Q~bfZY4aM|2{PRoFgGHX+?9gM@`q z8!~o%%B`#X%G!mzyUD#6)Y^4=bQiVpQ+LsIg1g&y9os>@4n}Fo%bHpr)NgK(KcI7r zmwci~GUpj-cu%>lwaf7Vzd|p$z2vo7Ao(!AznA=}R2Fiq_(FEMEB!{3fkI`xtF zNKwb>n=jE9vX9wE>23Og31VJfFgG2ukHOFM0~5q0{lN@9Mw$IlW8Qm&VNV!_^#)5i9>B z_t7#B@zi#6iM*eX8avQ2qmddr)bB}#`~^(^12$1a?_V*- zVaJ=THxysBDfJr*B@P~>#$(X&3J%hMF><&Y_RTS4vG$r(Hhm6T@MXs$6Q6@(I9fFp zjtayRj2M+5LW>dO5LzT3a2N;}!Qo(`zD|=P)@hQV_;}QM(L7@i^_zg`0`!R!pda$I zPBzL+6y2}MMA7|*P2?elSf|TJgScqa6*HifZuMh0nlYILO#<8*^m-s+FX&)8Ic5 zCpDR-SH`q|k2$jcM$8dzBf}=FIR&96KkHJOkRt?-SY&nu+=W(XOFgX33Xj zuQh2DCu`NoKIoY3CD(EWPpn!>o$2%pj9O*{CQPQqkCvs*vxV+MXA9kz%(nNV|N9Wc zS#nO@Yj1Q?>Rt+~AmZ8DMN3Z6_l3cMIcYx1Xo))B&X=386ipVu+Wr?2hqn(Rj)ZV( z{tw1(?>&0NvG*TzMo`NxlTh-Z`fag-V zvXRf(&56R#RQ5*@A^`N>AjC-T{N+tj{vO&KfYKzOG&~Y%w-oIT>Mu)SdgjFcpCgf} z2AU8o50vs()8SyWL=GQXtl>x(`kG`H#vV_INfLCnH6|$;BHTcO5YcVF4`DHh7@_`~ zn8bvbB*>uQ&>36KzJ3RjMVpwUE)}jq>>;>TKj>%U2QTeH%4+s&4C-oF@}*r=oBe&A zKpj@g^&A6}zKqd09Drj9^m#b!ENZJ*p{N>xfaO>M6Kl=V*CtDx)aw@k_lT#vW92Hr zGZD33jbKj8WZx-QuaRHDhYt7nBXUFf5D>ODVWjJfoLr3T7X>n$rd4WZ1C~0v zZbTFlXhrv=#~U&K%iU2VwhNoHl`*Z`B(F0_fjg*AG%O=!y_oD>j)wQe(Mr~CH{NPE zKDEqdp0_Lpju+=N%f=#!?+%)M0Gej>(7Mv9Sh=BgBp&HpDXu_s5ldz{K{We?!XaJw zHVzHhA_wC9C*^HH+aS}tNNpKyj*}}e;{G_fhV#K_{+arc9vQ3ovbGEYr8dSAkAA2_ zjA}3NNnW=5a4?!iZG|>ih~ra0%pcp)!j`u)Cmr=zQ0bc?m(U32&|134JmS`Jc<#GCMXbU$*A0O zHIRCfR>sJe-%&eIxgmXHLQ|Vp$O;WhkiU?#w^{UhrViw_W~#nR9$}CYx6$<7D601j zYFS5ZNakFnE0x?MdveYmxW#u{>C_$|;FvAIAzg-UEcQ*g6Bcl(>=WV)IMH-nTccn*%^IY5Y-y4)({KxzDF<-$c<$p64|%M@b?e8I0@GI>L@rqI%UD{ zpuBCOmZ6Tn8a0Epe+hxX2hdU59Yfbki(w+hn64g^V+>Ms4EdfwSpo}0KXOe$oDAZs z6vWA1G4vpX6+A|a7E@0mDh2WNNib8Rsn;nm3-A~d3t2Co0u#jVPqPR+nl_vUGg)VT zlnN$@rc^MWY$o*#m?1iI*%>fFtb7*1ZsKO%f|ItYFc=(uAEJz5m(c*Iu5xk5xOgD!S2Y2gKVnsa=ReZSTi0gK~~D;J&@F(SnW(ZY)uC&O_m!O}+u zkxRPV*pe)~u2x-R+p<`QvabDjO;p{e#R3KK#o{7=(3Wq?i47O`##qiyz9hf4T#*=Z z6QQDSlubL-7NMVI%UxKU znM4_x@_u$WAK8Ts3rD1fDC<=m7`^x!5N(4JGZTVgo>;+7nO zD0~Aq6_0qOwgKPnS+0%~tNtr*%dU+C)9NL}Lm4$bmI_%j=dQPw)Z?5|Sq7ol<(N)) z(4x8P>H9m-Cw@I`9SU2#dk3n3Sotmj4=aKzNVo4=}wETJTjB zqv=wP+}(hyJLLXI)I8q}K@W1Ky^pX?1WvQZXzRjvR^8Yxti}i)WARDn{ z0O-tP*`4hnVyS5i4a=2laq6sG`2#sO5~1N?r2&ncpm}2x(FDvq1G`+5{shH}inek7 zKR<=@XPl?-@wk`7)@v~O)|4=`Slx0$zPwyo5=mb_14>+XqGF9bqyQhw~5aQ{3SKB_c}1-N;EVE6PM%g*Z+vP-y6D_$Wx@3lql zsv$bbb2rx$56S<jn zg8gF&WND*J2jVkyLrIhnjaRdz!goaal~k}JqHD$*FWOl_7XM$&nS%l##2f9g>~Z zks?>wO~*xHHi*J7Fxf_BK86NDk@EoD7dQPPJXJ2rGpI1K0r??=b-%-fD=`y zti(F|@Jy}tBZE-P7?zZ6#s1B!5^6d6)di7(w7kjyQ9Uta9P$elJOSvT4~o}qVqkw zBq9TbMw2-n5fG8V!rBTJtya>e+Q_Vs>?4CJb(H-Q?(tr%!<4jDe{@~+U2p>G+7yfj z2leWqVCX|%*W-Q2G_Rh51@Elo(4ks!pK_vs;@U_st>$}yo3E=rUc@1(rLcV1W(3R+ z9gjmyYzSkCTTcHpgi84#B0i|v2-<+yy%9RRStk~c4}uyiZ=4eYtYa`ACO{~5ef(_` zsXVbOe-)4=58i)XDXDgK{rlm5OIlVfqlsa+(@s+MhG^~}f zoE3NX{M+sH#(!kfKl25484rGD{w3=!b9|z(;lL~7`iA3rtt^?TZS(dUk&JnL1tSZ zjqe6G-~*7Q9K2^RfJl9a%GWmMJPhTocEs%e=%#zfoud-Om@uP&kP%C+Y!r2GdIeTWQSHDqQGzR=vKR<6E^Ya0; zZXokDi!Bz*#^y;>{##{#J?{`iRFx1_>u0N&p4PL=IsELhZQ)0L2aCqb9=_k9(k#`& zhXD8Qg-Q4QUYNAk_spcT{Gi@@hJe%|FzGBm${7NajswV2SmEqhDtl)y>?{+XykTK+ zoF6S2%9?EnGihSKdkbF1z(y0{y7wNaPabCp`Y< zk?{B+9v;a&zMd6Kx(xj|O2m+}MhTnVItn%|l+kUv%#W~X$-I~b{v_JM!SDAN&HVmi zl-p)|Z@-P-$D1sUet!^^{uvDn&WArU=fC)W<@`->{+$eX4TeVj23719c)lKka)2|D z{axZqi^c$v>?@)XzIi`%EXHm;Fk|Ow6K(Xwn>36Ei~28V7PaiC=tm&oFdd3Ke;h>V z@S>CFdn`^nn!VJRzK~gl2pD-cNMC4o2uifqi!&nTVQ6^tjSUc{VtC{ykj{=r4I$N& z@hC~iBC$@!m(2YpC?B&SlArb9la96%VM=(0=gdTeg&7(AL|-N9HyM+w5uOkO)+|pn zedUWNB< z+Yz5nM*$OTWE9YEGn4~(z=@j9gszzjgs!`1Lf7mC(AD+5y3UvdUGW-JOD}s}_j^HC z(64(z*USYrx&}{^%hHV5Le=H7g{miJ|GTQ+&4sGbHX;h>Z*S$0bIKCtLaehuDP@V> z3sS-&eN%-+GBnO$dhJJjXU;?Dgf(wIj~_4}vnM2-IUn{UmLaWk49g1ScuL(YRiF_; zvJ+wPu_=}GQJ%6VwBGrk+-8bcVTqX*|AWymV?HXZ+I!9t^jpZrrQrEAei1rB+I)Rn z^2IO=;$UC6;KF%U7tA`E3<7y}(;RkAVo!^5F*w@hUuGAJSu4z=O^cCR{2cpHr-~nD z7X>%zq92NoZ&QTP{uo)n2=KR-jmJv$0>r@bb$}RH<^^D2k+Qw1)-t7@-J;|9WoTb8 z@|K}Q3EtKc@xUGgyGK7nOC3BVMwA3^S{4Ws^zs%#Qtcq*3Sz$?*5T(0V)0>RbufmN zg2fKQ3J7-h(L`2}BCC1~ZhLzp*}K@78|N)XoVXB-I0cL8cnGwxsD?R48Txg(ux0P% z!j^X~$A}~J)JL3(D_InkI0r4|RRy06rz<#A z7@@SK+*L{~2@lPc4@3WopJVM`H3UCAO!=9wFlS@cj;Fx1xhys7fdh%d?&D1KhSlg* zKE)Gu)=yI#soqh!0?qncsYo^FVqhKNi$e*RJ76B8OXM?dO!GU*sk3bqFd@4KSSguJ z9oC{5qh5NzTF!7Ce>3OpBmF7#`Z(n!nqMctOLU4(0B!c3`y zLC(VCg>IX$%9KSBm$8wvX_NAy1|oAF#m@$f-;DmuA3XGpR_aO_@ANI2MM@9)DMqQl zSyN+_>dqk$VTr8x_!;uf@$KZ`Sj=~T;|E2GZz4p+DsG&8EEZXLz2R#-;&9`LEy@o? z`w4C0(68TJqi1pGzWx-j)8d-uZbiQaW6M@Bc=3LxuG>VHp0rJL>9yO?rTL4G9pja{ zc76J3yyD6^<#wPv-fykt;hh=;yG!DtryV=NJ9P;L$D@;Iu)JO9E@^Mr?!Z{;v{Pw{ z&*$O6>Yd65Qq&tV#A@rP+z_pz{RYBb6Pp2{(JWQSvXt;0Gj}iR=g>rsu_8yt?7Rz& zU-(9>N4po`rcU@pT&Ov<3tbuP>|N-}&;)tv+mZ8j^Z5|1*)6(q+HQ1ZXvKFj*ab#Y z%S6_d#S@y`=^FVCiuV>evmX;WZJ**+LVsWrWjzzCR22X;7XY5LXP+{XwG{gi?58%T z#Qg}OF&2Taj}1QoW`*5H66m7aX_*IrO2@X&ew_P7)M#^{mYr>BXxe>H*=un2;x%L) z5;aD^NiN&nIZ;awjtggtFUP$}LM>sZ4?7eMY1R|$MlU+7G_)kOU4G+;=1*bUb~|*I zg#1WCMU(SUrMf}G|LxpH68ffJ4=ngT?bwOpVvO@IH8xjh9# zCX$~^VR{t&TPN#u3Y{!HF8DO+E?Y0&lGEs4c=~lWBZ@NXTc>?fMK7D4DtcLLDw~nv zQCW7Kwb&xV+q38)k6x1NISii30NELR@=J=_uJK(+cKJXw=eA>_Il)Xkhui~SivHC* z4H<*@R~k$`yWrotSMqsuuf#n8(jCki{Kg$C%?T5hix+v@Xdye zf*zd>up7v`B`n;m|lH z%+ktZL4`dz&fSP5KI5~ryq9Pky!c)I6;u8xYn;C*_Lb-ZCtisbdh^P@g-Y5)W(y0^ zLfKDPLQLD(y*8ION^2<|yFIMU*p*n*o;S(@Y$b+5gG%g*G(NRrnIwlB)IoIio#JL; ze^*YeB?sED2UEGDvXLH_Q(H4bmg8-BL)euLT)bho>})TzR3gYFIp?655}V>GsFe)# zgRGu(KAC6rP~7>R%%cH{{#Jg`ankLFg@*!jhl0~Amd&d!s@g;XaiXg7T_h}sjll($ z_ibDq*3?+%c%GYe<4R)$%(Wc1Bd!~9BU9)7TO{eEHkRUf*6!q96?4M>yIrg;Qq(Hi zToQ${c#pQuYAr_m-dV*RkvtoR0PoS44fD)%{ExnCacQ_2>@)%_+?EM$YaX0c2nAHa ziKvn96xE^O+mur=e1*_A<{J=0g|7@)t?px0IUro!#`gX2d!jJ8zE#!Fd62VO+#SMXW{ui_ zd2L`O(THX^vbh#^kaL9~**4N~uc&?#9H|nGaaHrAO}R9zIyAsNf9|3lR9C$iaeNK6 zkA@E*u%jDx8|=Mm0NPSgb8$zuTCv$%iS4O59HkLiJ%ig6bp+i_Q81ax=X9d9xA z?mVQAy^m>eEhy#xxM*1HO4Z$AW66)HhdZmAM@5{amU9v&oRes4aE?6024AaLOOc1@ zOpf*Ywj!$)dRAbTse`P{kC{)M%fLJ9AOmDQ=~wM9`noQ<9|i=^x+>pDUsG4Tujeiz z64SeSDmKz{A6g43;--h>(ZJeu#n$sPy3s^LYmTm$Gpf+m)W6gf3Q!$a^ohml!1*6QFBjI10wQ^^`@@umJ2s*42rix#Mgj2sbW&1p>gVUNK}rbfTUg ztKs-!3106++MEX>e)#JXBm;5gG_|(P`r)T-)p+M^53FV3O}hg#k1D93DP-k{a@?d*mbJyF8GL-)mWneQM z_9n=L&(s+9aod_5)Ot+QaqPvDj~n6BEKG1wbBGUKl=xW6Dv2fM+~cfIh}jG)g2o7# zwcDQ2VNEijBbp@lIQeu$-Qaba+Rmug{jQ2e$4PEYRXVAkS(191aVWuZ^VJUbiOr4E zDxG1vIrr@awX(T&y0)`A)WAMNW9Wh`bMJ|U8q`G{&4_y$5!WYp>w(yozy3l4x~i8Y zeA4E_ZmK1kBli-x<=X!N!peuA0>^iK@{zM#^{j0mE=b-MQ zo{x4{`6{m+b{exDJ4#!2X1cFbh#Qr*LV2l-rWHNa4RAvD>}^J41RTMzoj$S(kLiuE zRp;@s)$UsAH(y{xkGw~-zd-N%A1{c+<8whY?@QEJezvgcihW_#Aa?3&XVn+_s%P~h z#lf9et$mA%t<+!b#S*gP^HwUi$BX?%J>~Zo^;Ca=s3&_UzZ>fPmD)v0a+Cs=k=lK& z_LIzq9Wsdf221F#zee7;2x~78te$K>UyN#`u>)}~5@WwTi?5;$KZ1qjMn@#PRnQ*W z4~{37twn=Um?E{u9b3OnIGk1DTNWYQEfQ%$t<06AbZ;IYhy&^fN)REBp&tgLlEInB z|Kf6Pp3I{PBh?t9K6DPpx27z;jURzz1dOA$y-kcl0sF;(7w*mWXKEDX!k5!)41-Sx zr^7H23~(Z^<>&`$BRaVai;AU(L+kjvZ2f&6yA)iD!gH|s5pGcG1dmV;vaew!jexR= zcZ5ZJH4+v9;>?kD7EyVWx=qu+s>LSrjyKTT(YHUKKRv%qfBpbdgfwK>ic)`2M=@vH z`bS|Hqkj~J!I}K-IbYq#{0V7rADEMIAE7OI`rul~%+cyGDd{%#`Wd}Ajz;xG0CeGJ zwTXsLgRwaDeH%I}enB9VlSO@hMWM2?Fh;9ES`PajSuF>=sq=4YOM{eki(Q=_OV`Gz z-&odu`3>y2R$3c6Hx|_gF@W%OtCBQo93mGKX~sBFq%Gq_k$~WLv`dJ$GjKP%0dou> z?_;-*acg@Uzw&IkrfurtsfHV*z$|W1>{1IqFW;5={jUCuB}0_93YGa&9W2i4wfIA1 z+vg9St!d^TYB_`6M;UBL@PE`UqLTDv{PKU|e?-r`^dF(E^Au~(WXV=Zg*Sx)_%V=h zG{2&$@n0&o8p3Z<(p1!82p$1q6X>s|!7xB{7yv8(t!|UA-w;FEd#qwQtOB2|`*S*4 z7@~w#OxLYqhHe!z{y$m8ELg>^8`R4S+J#>i+FkTg8*966a2NVsvzU$QPsyadb6`qI zlox`^?SD`nNLS{-p76E4;`U_fJeS!=>UG^dyx(gd^I#u%o5x}wSm&NEYJA3g-9G03 z-`dAQW*>#ui<+k*mGV_LGKH8|qcQ=o4c^Gj7mFd(u5$jf*U^P zXcu#2@eoiP){MGFVL?cM$qP^LU9eg~0&!fh>Z&Eqhd(U&Z`%@82q7T8IN6Pu1zcl+ zKEF?+??+rA^%47g<%tlc&sDZCF-E-l_V;q+0gm5t?eoyXo$EArHq6_c)%yb^sOe-34@gu4#BTC}>- zm*$45-K4B&>*|WA+4if{IXFErhxUb`pcq>)QTs>Jzvt;OOm!9O-Dsr$SJS|qk*W_4 zXkMX(>!3l(6)^>LS+8c$?HIK@sT&YwXJ4kC8^8|K*%vmbvl;RGD7B9icbPUsftiUT z-9=ZhKH8{GVw|XrYJaKV62008MAT*0kYmYble&Tt>uv_qe2J!P1~d1P$XbcU#9%-M@oo&5c%$N@STMaW39*M_)#Z#hd<(?l)N0%oFq3i5hrQU+ zF;2b0h}E}(iO7mSb9(l+>zR2sDlKEypAkN4HvE*Ku$nKIV< zU2Vmw?(^H#*L5>5@UBpFDP4JA3$pl2GE#j91Ai()M0SJ8iml7a2^E_*mu~cst zn`phDPP;&i=R~ocO6PW=t6{V=>=v%2^=@?$RwdHNya!O9^P>9tCZbh9Oie_^Z%!k( zyk+41uVH?T=YlHgm%# zl5uL%I(bR4aU}Sva-12dlJJTSyBpd0kgCvx18P|boLL7{SG*zL@(7+wi8%mY?Q4tr z{vc5JhVz(%K!pla$U&ge@VJdGtD;-zS!8arA9Bnlb9_a5(jjCXXicC{^CTwr40TRI zp+e8l&?F$z&J>AM$n`J~;50c51io9{<1mw9i%^fKW!*AT?Q=e2lQTYo-RcOFn`$FB z_lQ~spT`b93bA-erN&VJLTv$;j{*SBeTIlUOlQauXA9)n15_8o)BwApm|7#cqdyZ%Mp{nz7y<9!^Q1S>~hn|3*&o|W+3@thOP zq)rKwnwA150^*w#m=3;S{>4djIvi!Vi23ZLli-0i^b~mb?)j!u;6)2w+tc8Iw&yf> z_*Qy}RPek6k5ZYLrckvr;Nkn~zno!anj$iMb_Prk|2zvOKFWUhESOO`^Xqe}*RsF< zC{J1E)DG+m?{(6^Ej%HTZcRf{5L=%|((n^B`#hMbIZe!OV)OW%lHeOYa&J$#9=OD#66HYCf2Ex#^cG!46=mNz(OAG1zT`4SBtWwHIT zy_lh##tX&la3Yxb8BF_QVx4BrRp<<2+*L6-dG9(+MOr-^)4P!sP=Hz4ayae2jsXQT zfj8G7D&Ytl5%rga7G`3y1J345ChwRHhd*i8>jrELj%xG`5zEjG#4;@YE)rmw7=21D zZlQ~3A1>mtD?^{KdC^q*Axk~v9OYQ>DEor5d3nHXxJ=MD--e=v$)e2d?yz?HZ^~@K z+LUCFaCYdF+A>}fZ+Ua4+Vt8BF)(;uHgHkcs1^e;`P1%Z^i2?rCHPRl9^Dl z_6Es2nKB+CQ#@3~$GkC*V0$3uJc8||9HsV;SxJrxX7Xb&LF}CiX3$Xz$pte>XV%XH z6U3-Itnhjtg}*3I2OQox8%y3#nEa!pJq5~q1gKKX^6;FngME*X%txqPJ~GHX@?O)9 z%va}Vsd=znjSQKP0K>pUf?pc%N;{t+tV+$J3(rt*{yOIylRTR|Z=#)%8^?lTy))jn z$ObHO_-*^@)O?q`kbDZ%15)Z4`r;*Y!h!@3OD?@s0~m4KD})I157WL^YSB5Y{;$=J zjOPCu5o1;o>ozva%Xo{&_It*n+bqC|d32i+49$;41@*(Rv$!OYnYj>?Eu_mSM47xf zG1~sBSc|u6w)6a@_E*KE`AezgX2+H6oGqeC1URYy+fole`(O?SVKjgY#}@7 z78YM|KHZ@4W$ac4?Y^F~zKrcBX?QCy`x$MKwc3`MG8WYaQ3pkHV~k-6#3dYJt6rYe zq@>1AU+^DqN@`tLOW?<5+OFZqNyZ_Qp_KNufvP)cC*-(i=g563P6COYSGHC+Sp$(- z)+j~8@vHwq>ORSz3v`ntGj3s(K};{Mis4rF$}OFxnIsr@0vR%9}2jp>W>+HGy#LEa&7`Vod|4F=nhxq=oW z%{xdFD{B3vD|iH`qE=)1KY%Yvz zeXMA4Fh8n{s)*h%{L`VzT2q$dzg;^o=96Dn)w()onyncRxT;mbLCldZ*5!JUZ&r-R zH`7c>F32|>r#0EpqpzwVUl6^j{Woj&#qwQPUGtCv&2+LlMB&jQtZrAPPZ@qC>axrRiWyT+JKn=e(PtiA{q;U`x=Wf4;iFMmlu}J#D9TV*y7IY*Tx! z11ptI&=+4ueJbj5=BJ`@wtdPPM?7}}>1|Kh*aIMJPMH?*z?xhA@QTd^}*g#^tDzdMu=I0!jXzj&(rz$Ry zCU!#s?A}>8x0!cijiGVgx%jrj$nL08BwgHHtH)N^^@9W-(bu1&h_Gl7Y+u5>^Eoun z+Cu?7pjuQS8|3*DH)FM|J(esiae?jIH&=UVfs#3q{^-R#z@DNawIf4sEr4+Xdu#o* zIZOzu#PK5rtuZ53`V!tNi&w0}VEkQQE!+9zlXG_CzQza+pN|%9GJcbAlP90hk$%W1dAFE` zf72higE*l-GD7Zrf7y9}u&;&#L|+{|0RBd3F~$`46^0NkJKUL5$C|_D2@FPXXb#ro?}j4Zb9q#481hXq6_vFs zZ5Spv2ZpicuxfenM$dc2L`& zSpD)>2gcHopJ3V`KKThn%#LS;w=?a>&gq4Ahb5{=g=u!5^$`|5FG^T6j?G5?0{Kb& z0NPk;^(!(3vCprp3U@Gz=BMYh-$b3Y{S9>{^3|ivpfN1UEZ9yv#=^JYi7U%mk$$Xf z!#Gq&!FEpmr5S?-GSL@Mf$#)CIO&1Mhz^77$P0d{|Mj?iVA`ZKb<7mwc z%sP>KNtE51l!lPhxunO>TU z#(?k3&}TNwFqWcb!!k1Y1KRxdl94*j(MGU=9FxgOrgC#xZh^FH z;t80U-l#4ZA!Ll9@$+DWATF85vf?w?F-31HH>6YB>f4)@`PTj9C~a zm>ZUW3F4k5VES&Ri%Y-^jpWQ0`|)KL7rT4Iz7F}mw8=Ml6CLnFYVXZL^xysv4Wgev zM0;(b&HgB78lIPj2@Q{RaK37$gutK!S*8f8_G$_(4AEXonK`szc~PpdRC$HQKMU1) zh48JvuYhlj<0AFDQKwgGHSwa$1_#~D8{VVaT%nuB&={6xh2zX{!Um?_*x)b}8Ht*O zK}VkrLdSwIM1J`kpg%-4b2XI2Ii$bCp`@OaN<;`H+e8Q@e~y5XkO8^6l84r4)eTa} zddggjHWd#Z5`{&=d4U)ki8f7NN5><<^yjZ3u4BJL)*;UDU&l%&R$lh6(<;j#6#KHS z`+5vX{_CjydZ^{IuISuXTROU4`;g1vU)#aytK3bRJ*4U;tsM1Sk4X(T7i7Y!jSg)TWq-6$l-+$3%AOu+Q}!8~Sp#LSb!edMweM-5 zQxUrHtR?ptW(I3TF0nBz+*?Z-F=(B*wXAg#SjXZu%s*q&bPF0L^d2>evq@%(6UyC; z6UtTE%B+XW)Ej2fHf}v@9F#M!d5?07gmRi0Xjo5e6SJN*Lculh%zV~RYCJQaHNt#W z>|o}@5xV)f?}V0t*;LcS%tueV*(9{QU=mt7B{1{RlkrPKqjxbaLn0is42iJQlKJ{a zyI}~RJMBRR{t-gC;5|?d#H2k?E;C#xwsfJ6 zlYSjWc>E?GK2xuv`Yq{yydkt)azkioz5y*E$Esz`TTIL7KO*r261Jsg6EEs;pp2dW_sMg4tPFtf}TAkO6UKE(#Y~OR1)0#LywHuX&sK=1qIp;W zKQ@)$c@3P>uQ0Q-AtNgL=_RiVU(X*Z@sI#8sjj&GCjOZh5dFONmq2YrHMjVj_w4yN^y~u=~vfofI zd@-7|G<)wwsSim{KBgKN}PQ8^(xGqx(2AhvJ; z6ZfQNy0Dt_r3e=zzJBUUOR9m6i^Cb!K#%hk634hA5r{diV5Tgh_SL~GSR|Oq)xiX@ zcMUK@7g0zJFq0M)8C+MYU(@KxIW>(~TUbc3A(;v>capjwU4C8yHA$dpv{n`kKOdpZM^F}~`=++wQB{l|P zWfr+M0U|+1L^S~dL@r}OQy?N2Q%X}H{B^|O520lwv^>)WTDms_#J`YcHUlJkfhnRH z>Phy;C%{H^0sCxouwUJx7A?RwFA!SnYk@pK9M{q~xqSXDRH092H3Fn zfF}_3em4 zfyf)CQYY9=bO`K5wbskL;&ziD5(jrig8(jS{77er&s_fK7s{=zL?y~f>;{`4*K=>~{dhg9i~^dR<+fZb$t2O{|vwf`K5Kpm0% zIS?Rn#F8FB9K1z2Jy%Rgd%mNwrH6VvK)A6qX zNzozSeS<7OL@T(E+dv=?_a^be_~ffcV~`X5SiXWBDfYC*wFu z{1(>c%L=XtYn$^OK=W@==63+43ebe_kr8OTs@e=T4y~Iq_pF^YMcTa#$B$`7iL~xR zpaS5+n(hpN^x3zl+fYdN5{R=yAssZYVW_EBH|hB>lpy^r-S`P!)O$FXAaXnCJp!rM z_)*jdAfk1|$0L!!8o%?x%N33UtjitxGN z`D4Kh)R~pWp#m~2nq3~p(!Zp(;{nNjEpj+A9+0e;dYAT{0LZErbbkUMW*zd^L_k)( z&iatoxvxxMgC~QT z{7NXXWHOQ`>-GE1f6(EwGSDP8zB;6M9fj+OJ5OOXkU@{8payVg`lml3x!|Rc{NztC zK@9&3%=PoA%2Y5@b!OyL6m0#x^Hi%f`oNfJPz+&8@-#rAbjYB;ksd_e@-?Od5&DLv zO$VZ|K;+;w0~Qqe22EO8bdysvfXXby%Va>M=&0Q@fyykjqP%7Sg?CJ@%>pX4z+~`Z zRupd;&05U{d&f=kpAB~Y3z3!E94G@~${bkH*(CeXe!rLPYf#*ZQuK7Gb5Ty8SBX!7}c@Pa^i}|Q0x=HKjgNbtUp4Mgom>}{>c(s5j{)oQw0U}LD-0?y2 z;vZSNQS?7RExAc07XpR18MvH~h0tRO%#r(?;fny7dy^6u0phJgdiz4qT-HIHMQxT_ z43y79ny?tC^k=4k#i%FE=OGY!k3F~qh_^T$;m5MV^N)GxCM_3d?{15#lFHxAW-ZK$f@8ObP@O zMDCat1|j*idz2jngpZDx5{!ztc29RqpAjH1rxgT9=2MYF!w^6U3-lQAQ3xRMUesqf zAn`in@^V1pz3|CZ=EB2Q0223--mYL7JvG%{$to)DrL`)*3I!rNm*PT!$ao_1>9`8Y zg2Yi>Dci?#4f!A_w7!pVGYH*>Qt06umk1B;T1$4xka3Da0_{q}A zdvqiM%*;HI{F^l>z)4FrxUNNd^Aq}OEg%UxM2mzynAtG^y?3QV0_Agn8n0uy=%|Es zK=~Z7n%~UzKo!iVjP)$LT#?tYHEs0{;<(jreu@30bwbTe_g_J37`n<9?sJF#CVAf-ivsX0I`MeU9{CNx{o8u`m29gtX zM5|aJK+KFqJ$c`xL0b@nuHA)5GiwXV=FL`s^d7M(4(Zq3C#S7|4BP`q@KzLT9X?ZF zIF5o1-3I=g7qojD13kU1~($g4~|APEm?Ts$DYI^TvNrVQO*>oon8bs^t z$$L?eAaWhs?*k(JE`{s^!b?Zg-wyk!ve)^qQp@pZe+Oa&_UK6O09^2#=iI>YPfz8*I%JqxyP zKINWe`P>!x%sdBWL2R0a4(ERlZw}kcQ??eLr?Dy z0-d`Ac+MRiUgwJOs+4kvJX_jG_Rjzm#OMq_6FAgKl4I_mqmFQLFOvA%b--fo(7fw_ z1zIGY&xFJ)x9uf%xd|wU!*3ev7;;RLo*SDQDE1bR2OW^rZvzS9C%27tOub$jKQ!P0 zClu*O7Amo%eUb9-0(&Hj%4I`pq%L*jJxJY@WuO1a`#^$t?>mLFN;=zZ2rdyyD9s^o<%^o@{7f=va<^mdLfj-ECx|!FCtNYzkz%#GWH4mE0qDV`;1M=$Rq`c}2a~A&OVrnhl1`|vDX#zv zzGyG;)@$HEeD@l-wxt=a-y7i8U7%)fMezr`HO^zd)87J8z8oMy4V_>e_zt^llVgy< z3D$9*wi=vZ9XEB|3^Jfk)9j%W6hJ{-r~v9?fnHXfu4+MPsGutL?b*W!cu*SsXaqd{ zhAw%#lhajsUYdjPxt9cXUK)K?(y5NAuNy0muQOD*id$Z`&H1tva3EGL4P1lT3^%$A zaJVyOXY*&v0te!wvQBjj=_W&6Cs=65@<4i@wnuKR03?VDN6n1hPm zl>rPnM&Xqq(q9*uTLmJs4$flh z57Yq^#H)1xO|d{ftOw}aE%v2ZQ6Eqcch*Pl$?aM08Z#uXSo_@nXapRHevN>O=)iE- z8>1pKVyqQ;qlwczDI$fcd1<|z?pgtDpnAQ;ce93vbKHtX8U2FsF^Ck4O4X{}mx(Z!C1~zKdd5S2o!Nz?8 zES{uF`2<*VhAHn8Cnrfeg3B6?zU!a%Kpt62>)HbuXp#EtQz)B{Pe|BS{SO@g1#xBv z*inutU;s1CQyr22`2dG1__Q;i=L6{5&VVNA&I&%20BX?{wcq7iR{LJv zkoyLI`__Ee9XJq6d=5qPFYCqsz6YR7{OqAedIAdK&7KgMKa`1V)f+hPCH4hc{RMC! z?)d^DBP}AGzl56eF{`z!{lEGGJAWPp_64@^lAe85KVW?qoF{if3G09~U;wbb3uycR zVACzwy0t#ZSZvpkSKqr0&DAp@#8A|Z6 zW7799RQxXZ9%U~%ft9`I5Ga|BC5lNcZA@gxP>4%^NoR&aTw=OjpxVPBZt)8{*S=%~ zkc(f?rV$XC_y-gDY9vIa!lT-W{N)D#Q=ih@9{>!R!hq*~1Tfwa*m*R7n1&4(LyPES6uB^Ag^W8N529Yby3%H-f!^S7uXVq!$|yO4B!_ssLWWvy)5wI z<4~xybh|>G7!PDxI^7-5{cP=7%$Pr!2)Xg;#pOnNGKJI0>qb zn|ht`2cYINwEPc1eRSx($0wjpPPl2+T=k>B3{1Z_B6nkj%sepp` z#Z*A!EYOwH0F6CiS1zaNK;l*0y3<(=Sdf!vpkT`n7cbb2nSd`pOmAiao}Q-b@TC_@ zwjK)}cFntcHn8iH=)!EKgauoFE=1?-E8cX=yaCVIM_arB_qD*^&0}q`kFL!ZHDOo) zd&O^`1wdt;(-lbf0V;YYg%{Y=SNDYw2;!)PfSN7Pt&0Hl*+KrkHc+?4fP&b5F`$7K z=)xsXHR@_{C-}k-@TjX)(I4>av$_&L2A~#FG8|lM>QZ1+GAL&$)8eeD{4&_CbT8H3 z1#Juh3dF-fOaY6;$^?m~R0oN3LVz`;QdkHqCdafjnwjFG=pf=VBc+y|WhB zbFm~v0-I#PezlINiVI)sZCYUedZubDU0Dxks0G?23Q)hz_PT~_1Qf*hjZA~nx(3Q- zXmE9tg9ekLfxU_^phg3mY{6cQf!O_m0|L@%uIFW zQ2_l;+GqVB8AuR~$1oOjzRbpgZ;zv~;~*R0}jP-RY{~2}Rs>`k-j?X1EOGlLJ)qGLVrL5^8g7B+-Ez7tg*rfo+y)fHDYsGg^qkfGkvqUW+HWtiMK*9Cevu84$;rB&EAIhn zc7Uo600q(g0idB4sAmqKJ`T{!4*>=7*+bYZjcO3AvB+oi5AX+Z~Mm`{#gqZ>P!60mUKy``Ee*&o<)z z=nJOCA^S0?*-NIzA?o!K=)@xQ0es2S>5??ZeoibG0z4;)+zJ8qF9Mrs!W)Rj3)OaG zR{C3@L45ia)s}r&)NoMO5|^de%%bp)TX&41L^VcCHk3fPm)FZ)m-q9mXRW=~ zv({dF?aACYKr@5rAMuF?WBrGPMf(eN65nS2!@QtQ;*+d)8@JU>groF++(~N4Kbi-J z#1_;|T+p(1+y1D}K0d&|pJklC&tJ{oNQ8B00DjICw}vhxCceP(S8GGfiI1D$4|r=? zmPGh9pUO0?m5hOF`!%dd0 zjWKZMsP;iq3~V{7)ilGv*(2JwEif?cuqL&_K&@Y!*%|}Iziakn3~b-8{m=#j=YP|B zw8g-T-?UBbFtDyf8+tbeuJ6}=?SO#``?Oc?!N9J4+Nn+$$lRlS)CB|XpS3^l#X#!M zT3S~O6m8R#`!O(Wo966}f$^KPHVX~2CgsFK2b4nB3r9{2m^W3wDFH%pnR%k8-jr?Q?=QTVqo4> zt?gqNNXyh#Jgzg7wcf)pa5Y2QIvfKhzSo95g@H5UwEZJ6P&`h1{TU2ojn&RRi-ELS z?bGKlkXobNd>#X3m$md4F;H+>tN#)P@{eoTFJoX_xz_$47+6=P<-Ll5t)*Ju*D2C{$As@}%HD!=ymyBIjKY3*pd7H-zQe-8tD zy;_40Fp#lUoA)6GRvp$le2f8j8ea7Y25O77exG7scd@qPGYsrou8sH?2EHxU4t{}w z1Mlx z05eLp)#EX6;diZHItG58`|%oGe1Z`MAU zih-M(wZEoez*C^5Wntj#CQY7+fd!kjnH&QZ1zPJ_7|7nDxo2abWQ*1<8w1~N)z;6& zK;BmE;rSS-+^QAjVBkQ3_S^yt{PweUWFZF5tkB+Ggn|4OTJ>TKoZhDW+l>MDYK{GX zfoXni(lQLJtkD`Q$AG_Fo4W!7*+;eZc^Jst1AkW}aeC+MYkOmCN8}iby^g((>IlV{ z-J*u`>e}lPgfzs1;!>qs}?N7KSRZB=@HvSAt9fgK=Cj%D*l!v(^AZqrU8>=FpjH6OI#F~YGfK4Og1sq0yD=8Oe2Hw7z}@4 z6Byyg3^Kw;!si9yCD;;@AzVbv9|ChULQfenRRU9m44n1STY`+(jz(O@3@V_OgwG1X zE7ye?$2FrR&In8uGB#=nV(@RRNA_C>zWNQ`GT@F$Q_iB5remZ5l1nDTxkUruu~8| zh4T^#2Z;GuV6LDZs!ZBnMoSb5Oa`7kB6pBwF#I<(VjmU|933RQLlDkJVd@k4#NZ#X zjcl!z$iy1=+fR&NV9Ky#1_uEd@s=NqSdYTNmLTD+g7B`08U%>hA}|M#p-C>S)@X?W zffmRtV5uR77LePt0P^6~Z{eq@T+LV zX3U_)g_nfC6NL95L$|Dum^6Vog$#|nQewsl%(ybRtu*IUk`d!~ff2V*m?pj&5*{lE zXQD6-iM26Z|w311O}3n4vNgA!r_0^`A#`gFf5h`B5< z+mNA_2pA0CfkqTz2Ho$p@kT8#3c}^c(Cx?~rdnWXkqJ&4#9R=V6Nke_NnZM{4Ox3kRf+a1Yzu$Yte``6=7iy z3I8StS0F>eB@o6=S0XS!o(${pk)C}5Q;rPjDTXi_F{A{HSg!vliLq)bBH_J)a2Ybe z8bBD0C>EHdr^7~+k)AyQa~v7cQwU)+Vm}zs=f}#ha1{yf5`@np6RZJ*(TF0RS(4a1 zv6e)B;rS(rck#D>OSFyIayG2e@x5{P@J|Iq4yI~kNM$*MQDvdPY&;j%lTCVl5}0vS zVLfHQW4*5a294_hf#4M3C*kda@C_8EE>uE{Utre$5iTGb!e}V|C4k7ve0M&qr^3*~ z$L|LtyqH0?bU_$}w+O-)B7_5EM1jDpz7W=vHpytw%>t7J>A?zn42C!Q9gLWTzZV`H ztt7lr5Z;eW6Y7e@_ylGle%e5*$^ziAG8+V@44Ias2f}ze7NZg4E<*;blTwY5D6JEO zHzGq$xQvYO3QQ)tmEit5F`B>>q8@U>wFbj`mVyxnA_{OgjAmRT2=j;nvWdwTn2Lx3 zJjARP7$2kuov`0v_zpCp_6k@>{;!0De-wn%t^pHVlEMdX*wlFf<3xtmTNR{drNFF5 zhWcNCjClS47*T~8)F;w1jFwm~2+zZoAS1Gf@d(WKHK2zawTqZ#0<$QB$u}5&3XRx~ z^BwgGKM5}tgg2uwt$|92aSKdwDQrHGp%ug|5tw7wK@at<02%T5K`^57Psku2kT%(9 ziA932|1V&u8MBCS2}}tx!NnRe_>1L{equ>P-^w=_o^S|^aMXe@^;ka%=L*6LBA60l zas*}rGE|ugV&)6XNo2^-02wh8jqu)t4BA{wn_{%YTtRr&EnsM~F^iaNf!U7??d7|O znIkZcRJdiNC*NRr`!X6Zgl7rDC6FGhWjQfUfmwk~O)XJP3>TPOJS|6Ssnkrp z!h9_n;l&JEoM##t(hNb^jjKVrWjVxT3CwoXLme}ZnCSvD;agy+wF=0HA&0?;0?cS; zxYA-0o+=2}BGcSp@*s?UB2!@8~gTI;^*)eNyl+oxYCnNTw5$hv*Y&98? zAqbaZ0n`$yQ;mLuze5{YfFok~WfC(oW!IF%;fKY zp%;d3V#Wzf0ZtLrdA$b1#~%eFipGO5Iif-m9xDjXo&XHZkfp?=3XD5Ftf!KgTkzvi zku6b)3{4R=WJIHKY>A1GK@Z>Q(~Xw6AqWSMp|ygO82p9Q$O7Eo14FmN358+D{7Ybp zkRdvy9dX2+Ve5Xd)~IgRmto3rqzv^!CJQ=;1rih<(@+ z^t9)u0xk-|H&B@RgqN6VfytZ;?MRB43e21c zrjVG^0^`MvCb}J^WW?tuz=+Jua1AO+_@p2_2N~*^HN;d1Og=JXX!=Z}B~A#;K4gM@ z!eDqp1sIV&6*6d2ag*>dLHI=E2qLCjV17V7!4X8vQGs#bJ}<39OUa0tXvBFefM&l+ z5N@A zslYg|f)PQ_OAP+pUZlTC#r{W?DJ3J;q7fUg0P5A1B>bBoT#O8@IckV05tx$cz>p(K zcN#6RPhebGzy$k*!SErczz9EPkh^u0@LoaqMg-#}rdVKdW`y+=60=8O_9H_pt5Pyz zKN!(x$;_~Il_b1N5UzprpwG{oWz-UO8%`xQ=t5mOhf;qLBpn>IlY7d8-^V-+@6f6- zjXYC1gwePDEXe)j49gXe?m~e{p9Kufdd0;2Brti%1gA{U31#uCr?KrZgL+Rn32zsK zGiC!rZB|W;Utm&aL(|aB&4MsiW}C>&0X@_RE`#CYE5V2gEPw`cJ_&CTg!56DdYB8s zSU`cmTtkNR_({)ZfyvJXhALb_Ml?DDMwDPiumA{S0UHJ3?{OT_g0g}N@Ci&6>LKS6 zAZCNW%-jSFO{-~h^w#2w(FkpBSUAhbkk$#p9-QjvUb=|!3XC=%^w6$JJ~5iWoJ59J zFn%(k=UFhqkrNg!A>lQG@Tv%=f|z`PapZ;z2oSScU`mjoXNk0Iqa}8L5q;3Xe_1AHR^ln95i~?637UyIgJcysUYlf0~1_x65|$_ z)TO}CgO`_>B?99^h90~M$%xOZz=%Vb(aKo6mXh!yL3kRD0jfbIF)o3b@B`?fn^!~3 zLV;O;49#un^Nf~A_ydf{i+CyNG%}=IK{y|UY2I=ZlOr%i5e0aOnJ+NwBg!fyBW9uz zzeW^LO2Tsm;VNXv8&ndLEiis8Ah@6+W{$voyA1RM`^0>sCEA|{BeF4rn#gHnNV5dt z;)oi!iE#?d3e-cby1=+m54C7|j?ofBE`SjmFoRZFP9sB_DhQXLq2$@!#AFJL7t%v>B{5S3#)F2E z11~oiz8{VFbvYD3tEg%c&Jcu8BSVI!=IS+&90Ic@qJT_dCJD^dh_Z4FhL5TSBdT$^ zNB1d@geMBZnW`e-{fDBc*oQ$}NMqG;6AFU?g?*w5#3e$X= zy1;0OG=Vve4E2djV#WzfDjvY4&YNQ}eEdZ);u;E5r^_SZv4XG{9|5R<0%B4HCV>5h zri5Z*ZkeFOi0>`7uMC$}PDV7kgtr4C!8J|VLZg;91YvI;2vf(*BBoYgvXP-$x`_Ep zV8;I#X7UY&FGeH$m_beLC*kXYa0N0njPoIklT(erY{z~>MwF1AYXWl<^-!x>a&L`oE zg7Az8#!pPO!2A%wln`@4V5*QIBPz&<=L29w-df0@X*xi{e+a^7k)fteTV%9EmB3^{ zda&QPh&d-P6o(;gz=tx^^v_yr#xO~7+Q)dx#LSU{TLrY$l!SIBu zcsn*iMhjz6lTX6O1mPlNXw~E=rd(i(adc2+N{BfsFqcpd-PQ^+VkR0<7U8x7Bz#y9 zJ{aM))0P-5Q6?~x@yUVQb`~**1f~jK0@6ry84PcK4U8y9VX8qs2_F!IGxcMmMr-+r zDHWLOsE7Ji2{FG5jB|6itO_z>EgJD{L;(R3{!I}65rwIMG`G`hy0)VoMvHbm&oBIXx?Ifx9kjmu#8sO!-90h~;Ovj7SIEC^qY zD8Nrlp}vWVFx zFef6)av2OC|0lKt9$W~H1rpvO2=9z2z)wtpz)am5u1pCrn*}BxPjgUN6=X!CzrYAz zL;(R3-Y5ufjwm2)nb8tHfjNRqa4ZnBL10!yl;tuQz8H@ESqbi$0Y0kJ3CwOXLg8)rcib7BQ;@ zrWDJfC5+2p_zpDUVuTU-B>bZwT#QQ?S}ppC$rG6E+o1rO4@-zyDKJ&Y&@!ijjClSA z7%_H7SU5n!%LQQ{GE{@K~$7`0p^3S$BEu;wJjB`~W{ z4~-5tF$)Ez2pQ7jH5i_73yheA!-gKt3rRRv5UxPs;3G0IIRZ0&CotsnDv6mdFh`N0 zWljwlF%ykQUkUe;c9vWa#>M+wLAdf45Dsqn5tA)2eq?CD=O<>4z?5KFq^HVYX0$iM z(s~!jQm6KD%#FsZ#x&~QWh6IMkSj)p zZfX@VnF4bZ85&Bp#7q>4cz*I)2pKgzq;&qaTde-N`mGqzplLa&g#D4>1mbS&R%7 z;3H;|z*Iz(RYXRNVqk@QZG%`Q|ut!!f@_=q@AVi)bxp z5TV{yMaaLRkrn8-$(C9|K95HJL@khn2Pqu+Mm;~X42Wu_%o1SePGuAGX|$SUh>&xJ zzg0ao9_p|g)5wqbNbHknv2sMnl@$^4aWs z3)T|yn$XDG4*)}r?9li}bywAku05TpAZAmoK; z7Yd{VjZ?d^aVeOJrgZ9 z7sVh6yG98iPe&s@{A7iWMN|+nA{v<*@!?N^kf((Ez5z?3)=FE)bIf4i9!w*zmbK1k zp5f7|OAw)v<052OG*XNog_7ocLY|05GO+=v4t_!&7Yr=I)}pzjWF3FX0tQ|_5;nSm z5K&Nokby!Yr)_{? zMaE=q;KgWQ)nX`}7Qs1?k0Z2ywCbE=fY5V69wGgrkxE3!uM`mSU^G&Ug_E19B&4rk zV8wAz6MQt;z{kj7pdZu7?WX$-1A9lSUUMRhI0@+$jRX)OU*#sGXEfrh0EF&v1>o2c z4+y@3rxU_hhx>#^b|XWRasI}cR$J(F{ndOic^i?F*CR!{T>kxF) zn~iEFMGR$VH^OBqmh#lTHK_iQ8bc$Hmt=rMzffkkUG&wHX<}?y@Vu0BZXKHX(=Zp zUbyYm$k6>u-)0yi{FcGW%i)ro+xRi4!{4egjb=YLi3ukJoB=@ShLr=3)igyL=s|?0 zS?@OO@rLFlCYCy1yWGe;IIea6g#$6Ri;6u~Elx^lQ(rBFc*oe7Ua>KdRB%Cip}Dyw zW1c+i^OoiXam-h!HEUx|jW=iCJP@NMs|7a?aM#`DL9FyI?bO}odrYi)A+O)j+>@oc zw8uM|>%gyO7Vu~9G2h*)X7iyK+k$rb?@gLjJ(dG8n7`_%g&Vo^9`j6FZ4GGc1dAB& zbqJ)o`G8L5mdVknneKxzwh0nQR{d}=M(wCNmuX*hGCNF(Me`xvR&_4q^}3ilv7F!e zfG+07iK#h|{GjSysJ+_7e5Gz;H=t>18$dxMk$;0HB^*1lFc~*iYSOQ)#UA#&) zpJ%=zUiOgr308fDcYN6VCd<6SGaiPP$+^O}KWrX_e?hv-BW8PI-c=~Bvs!kQKmUmN z1^hF9D<3hpXSA?E%pdl$br#N38?cJYRfnrm3q&)hoH`~Vs@WT^Q?R`z`LbUiU;oX~IH*WbM2xAmD}s56SPZr;^_C>nfiYc< zfn04!jsaQqi{=|No<#$+Sn(}-dLem`F8Tho-tRmvYq_F zXQ3HumTE6PYktLq&9nAz=Kf8xi=eP2P3^HASc~Mkcxj@>sGcHjc@A!V_Agqm=gdzr zn{#{6!UVOh>fFv}zF!$h_@Ch%OC%3H51u4C$ zQz63$l^96+Lhb&S&F{qq`*HPrzT{P?kI;{OIoj1%&1;~(+xYU=%@@Z+4-X#<0%LeM zp(ypI7_}UW#C~j#eJG|E3naqG_LLuhKYCt`K~qmwh1XQTda}wy6-Ryz^tD*k(GdTy zOiWSJQOAy^po6KVAu_m_ShX-Vr47WimT#KhU@Y$fPaSD)+O+1vp_mltt;yi2Ku=5x z{3fg&6in1sjWj3LW$p=l<9p`T%sW9l@}8NoyGkd3U#M!1?K~{G5nhHSGY}m#8YIhO zQq;-`JoZELAIzDfUHZ`cJ(JRNKw>QRvYPok{S))gtm0Sx?Ek>c3H-{({Ezv|W?B2n zsK1z1TT0s)@QJDk`bye9E$LHpJPef_-t05;m&~((&-~0hkX7Yqzr)p(=pGA=)mxllItM74aTy3*~7RWoDZS9Py}FQ~y5(LLPldFko=m2b?2 z%$csWA7efd+phQ$Nc2&&W(3UukD|ITrjHjhjF9%D=hDv~`Lpdu~hla+b)nn=Pgk-W4rR~eU(F3gmPTX0&I{#GlYKp3OzDs#rtG^d<(piQ>9`=2(v-ijz}%SysBNR{8<#$Bj9()_m?>DM~+^7o9RnyOC#J zI{;TzYc80N!w;Vy;a%b^gZSvb%oeTUqIo?gX*rk8KY*h=t_{0tPJv(KKB0N9nG1Cq zvIc2eubbZv$!Z|GJ(%~9_UR2+IiRfPmiY@^w!O)c8O$G~!8e-SF{VMeDLObS!SWV@ zYwKEig|+@W(NbT}&ahZ!U^Z_nTfSgv@JHfh3;aRU6WSKpvZ4;FnxlQ((9$HHRpjz5 zEiK87s&Ws<*fMbj(5H;DIJg!qF!D+k+@6=eP|s`VTX=h(lW%EeY11gjb$eFYB15HP z(d~HwBahWC(zZ0W+%&QBYy+;$z8x-_Ym{Fy_x3z5FK7wn7tFgoD|^17(l!6~y!0GB z5B?m))2%E?tj374)LiXaD~n>X7db&jpWDlVp@_yRnaPjYEj{ijn@O70svxD-2160N zlN)>v5Awbsr8;I&NfCUx!Lv%v8z)m#!z!uPXf&4o|W4B-J$z1SSe5GX@S3|TU^ZDy(}9(2y?hi;0Z1LV&Tys zdmCuf7ugu|M8$leSd0p5ml%AU{l8_jy}d1QCR^C>cv!txF40c*vB1ipbct5g7sgUl zJ}iQkX!rHAw8MN3`7QbX^tTk>-XzZsu&8ZI|JO!<>cF~|n;*1%!tWVqS$JDP912>% z#|*NJ3l)|OJFqr~KiCrScC!k9ciC{I#hnv_nI>3MU@Pe( zH_oo~WcUI;4p)6y2&8S}D^*L+wq-#Gmbx(!Yw16Y=BGbuc^>o2AGQ1q(|ZoJJcj9GhwACt zfuWXzy23TXEWkN^>G4*4YH3nyEoW7t-=uAN#d3B* zM9+d&}~O>9%ApnWTq1heu=rbeZsjTAio|wYqv9|Bp#p!^(@b`8WP39d#@^Ft7O zS}`UWJ*^0Xe()mUo>m7Q=tIpfxQnnxa2Hq_EAaCzHtA!Qvrg+#Pm*BkX*qwWzVsz? zF6W!-O9PpEIlon3>d$=3`GBNQdMjRl+XrNyjn9^>(*4-z!D46;VH?k`X*{unoEu1 z64NF@`~lTBNo!!2zBDx}nh5c(YAsxhr!^9Ae9zsfb|$ha_`_74qz718 z0pH(A%49_a+B==4fw8P;5?^{Bm|rk~x9ciBXqwO8=qe?%%mSX)RqEX(b2AiB4O?xn zdmpcQO)1GtEt`Ki2A-7FxF_NUK_c9Xv{<<27w|J6!ZJ7WyShpF%vZnBu#6AMBntY})D67h{Sy2kvh}<#UQ1T<*Y20@WtkhaDfdh5O<>X5?$U$FIUB$d z_>$80BR&xyh8Y3wakzAko7e9lbwcrhJ*4K$x1PV!L+ahEWHpGLiiHZ`24lQhHwCdETD@}2d_Wts+sG6)h7>( zrLN=BgD|Rd*7N5cgil^!h0gj(&7mL7aZfdP_gV$NUq7Xzhl7%V7j21lxM?*Swql4;350!rVZ|cC-$H>+Qoq8LL zej=oknn>4~;!a}U8i&NtZ;(5n={mAr}%gZa>D9dr4f zVNwTHHJ4u>Cfx&#$lDEyfB$vqE=ZpW=^Ym8<7v1Y%LcJQcGKXvL28MS)+T1KoM4Z6M`fR?-W-1Qb!ivq z^uHlJkN^Ns{MH-Nt9MfDcvI@%o|MGGIE_`m_*gAO3zA`b%E(A&Y>ZmGkY9LHY7Dm2 z`6t*2IraqTRBGu${?tFEPX>~XguyT_62eMge=bUiUWGO=9s!E|{L?lGA7eF&7tS0h zy`n3PBc*ST1TT}r7mk!hLz&jMq}34H_Exw?H{X)l*r-&K;bO`b@~)$#l)-3R-43j7 z8(7#HL`oZ6K&w>{)BnT$W;jg%|JY;AAqQJjtsrd-Eb`{^qobsbV<-t$jli0QH%Ds& zkZ1>Y2D@Z6sPI;6)a{2xGn&MQAslg_g-EpoLVCMmav4U7;XjPvHI!3zFWC zg<0j4h1U=lz(^(K;5CHRVx*dK@EXF>7C@wia_}0$9GH^||DkbXFc9LhFp^GLcnxux zkvZ8I!4%ZsjEuN2f+?7j6B+Sf1XD1_9g2*BSU$!u3A6GdBR-5^3g&ntBYuov3g#3< zMv5?kDVS3j87aXCreIDPMv51VF=EA$Srr&5B@VB^8CVrY$|-`^5Ej5lCFS5XgwKvmM`S5zAt@n7Zd^hR%ZkcxG93;Q+zSO%R+8<+&AKeDs zkKQ!!AMf{pbl+Wu-ehoPc94ZuQmwS=sb5|I?UBhY zt7&`r<9|sbSm6bp{TD1%N-yvoe@P#($_u<_t#mi5xxinml~P!GHU9TSM{9Z^XkZV zGv7J>Qyux6wngV4xjqcz)+wszcL$1OxTSrrzC1{qEy?ii z(Q`t3T9F&U-%UL+v#zBvPqoU0%u%5|Zj*8WBr>x%=$}jO-6c`p<~GfN$0Hf*K8gME_1B@*zY_Zl2R$ZpjNVBdy5D zNFE503W|J*5&teDXCFp#b{mn-gCLT+$B2A~kur)L!HBQe$myj*F|dn12#U$XaKH$k zfiQ2X%B}cA4*~AlXW(-%QcIBlMoLR~w}+t;dGI&dv9%UI41)U~0>N7lVwL-iOz_ zCSVArUf~;u%gye)gB(!lCU|=cPj#`je12;P%FJ<-yXcU_Yd$KQEX zey?R!55*+cmEg@e_;8Ri!O;)c>ksRTQ?ntKTvx4|Y{drg%;L*llOJV8P9Atoeu$Mh zb;bPo*X6dX)~P`$!%g^5^~)Rb^Y?l2Sy$h=1>f@t6a>F;8mGDw@FjonIT$A{yA=#8 z-KmXuQ=Vgrt-5)TpZ}+PcgNbB(5zW4pkm31;JaY&_m-YuPehqG)gPMziP^Uf@&O~| zrm-Ffyfjk2r=!onf^sIc=yo~yyRm>TA1U{!=g0P=-CszC(l3pad$GcCywh9qecbns zEc3VDl2zs&$A5TBZuX@2TM*FgvaL^os=yjGl#+~l++Hw@sij7u{#+s@>aVfX%196; zYrf?ly)E}+>Erl_QSv*?IgYO%B@at+3&OC;j!N~?ykl=GKa>(#zL98@4@c3kxXMTn z_RiFru~h!Ie8t=HGtBudXYa`WX3nwv>v!aVcex{#)Pc7!sc_1mp;`kM+xV97yp=wd zAALuDmzAdK0!=G12lhAg1xb8J0RCFmf_LQ}%#q4V-<4Oz)hZE0n>Np503^Sd3>CZXVQHjh5?MaaZO3FXdE*lf>KK%8zL`zLFcnva%CA zDOG+NQ%9xBTUhN0UYsVYJY}r>7rv?lbUM71I>Bql!N4I54ku<)wEuy+}}{nF1z+?G|iO#67M{27dIk5)NNt`C2H*`w7?mxsnT&a42@ z4r+-9g!S_pxNqt_#9y8zw_)kav@x^fOjA<%j54V92s^_ai&voA6kg(O=D-A1IfMUu zj-1X4oIEyL{)pww;-6*1ST2~wmuAatT4m0nMFZSL*cb@Kn99`ZS^P{ky2;sGnk%Hx$>B#ob=lZ+c8&eo8-Mi#*MkMJ*oH(8C~arTXIa|&&-n_VeU!XIZuYw$|Qbs zzTB9b=F1&eB}E>ZFT-mehmkXNzTA=dD6$VD<$9zab7t^a z?(4jWz8My1DYkWYCCBQgE78CI4HEr#FtdHG+`{0LRd)a@6W|0A7zsNsy34cI zSABE%K$kp-T)L^xEJw`)8};=(IHttqy<$hGqP9c9assB$%u@6%z!yC9uBnG6*U!=lZ@Apk=4vh{_HOK zOO`p6@7X0cXE{@O)h@Xi%bUvU?goEQFqMC~TWhEJix|-(XhW6Z?}G~ zmSdi;rE2OMgGbVg3|QdnMMJ^W(Xu5V3)W2ImAhd?XHMgN_h7-(v={cs-D4#m)+5Ll^wr%OnyD0WRqdf2gl`Z_);$SxI7SF${jy055<>qoln3_125&qoRAMi zyyuHE-tz(7l#izw5O8G0p)qPaAVU*=ZS$7*67yD`7DM z?mwkchPSN_{@@vChvG_n1f)p)GY|pK4>W68Mk!OP=J2mC z$&Fd<96s}s{8Al9HfT80lwW+Pc>`{_EPvR%8omA*IMR$>Hv#>Q4gYJPrgA>xGCCK| zPhW;rPBG^L0y3OrbMkKjpfVFqAqC_SV{$^1Objer^FlFP>lCAM-3`=%dAU3o)7L>c zJeY?k2l9e3SQ9a|AQaPAOu-n~7pGQ-Vs(>EQj9k0id=1~@4<>?wZJ|WBPCeWyxIJn zYx36nv!G?*6f?95cA6U9q3V-=0E1QWDQY&ho&M-k2gmaq{z{E}p*>E<;1luHh3*7l zf`kx!byQ8xa zBD`?*#45?m@8f%7l}B3XZ&0)2;0-Fi!5xtdrzh~Nvk4#M%I5QKaY{pcc{?;t>49%w zzll?dS-{6f#4GUbej|S`Ug_98_;U3Lnc*3c?5`)Vetgq_8RZc!;=}!o>0t#ojf?3edB-J;LU$QCp)h~jX6FP>Z zKPZA5u3pQ#*HfIVdX2WLp7IDhrL5seNyC$!m~Q@&oqIp@uKSbu~PUcwd zBXIM67_{KbdvsU6#)pa3-IaWkkH6DHX=CzflX@t!38J$eP+G%f-2;jpQV%|$Bw_0L zp33`hd8HS!d`vHe!=-U=r9E5*^j5w#t>IgGD-O6k*+-ZEppTLad6|8bmT+0oNBN?K z?~?wBtSz@QwjfTQgXI|2lpL!b_3?-LDxCrRu&*)%E^GTL_rT>?U!@rsQQKF!7meuD zPifwN4QPQ~CtZtGg3kxxRfMe#95snmbFc&(<;CJb%U~YdD<~$%$H(WkfH$ck)N*7S@!~o@0ko;kQGQhM}J2OC8AIEBb&=x(cTuWf-9&O&^ z%2{ix@G>s}PMFo63a()B6dW8-UHp<#Zt5I9(-k^tr7u_UbwH#76yd90QEo;oQ*loS zmdyqKPi`p8VbU4fyIxfe->%2@n(}Cb(Kw%lC27I@*=tHCMBS7~g? zz?Y|ZrVkcgQ{GkDVFJYPh2M94^SjXW8T|0O%Dc>Wk`GN$rX;4G+>2kLR9Eow6lD+O z=8aYwz-9YrWvMAc`|v&G15?tT;{df%tKnk2nMggstKV1P(~=Xs>j!WcDR6?n^MT@L zWhb?JKU7{cF>j@o{*jUfFB2-c@`=)%l~(djpFp`aAnChxdYQ6sjlNfVu(2jfojl@dV z^BezGeq)vEdC8YbhlVxlp;KIH0S_544O(HJBVJA4pw;^iOaRC{{&f@sGkB2U6TVg) z%vr!2exvMW?gD=D8|A^!2_D$liLp1t4!VD)mgPra<$IQ|6eG(iY*KU&PYaQ_f%dPMMRGd54U;Fmgt&cLtcNdsKvj91hvbUs3bQG+%aq0M5QCk zTEfpyRNiEsCH$H16?YRydxwml97?Ms z{~a=FP{MPEjJq>ntQIcO-p+u&NVn@nqy8g}`X^*UdsVLFDVYj9PpsryGGQTcrw;Vk zRQN3c_aZ)eDl9(>7xDE|mB(56BAzf!8JrZjy~k;sNuHklrF&xRa)mulq1smm~-9==G0l_>a*Sh<%E zbSj^>`!V~Np*m>Gx${t#g^a^Kpr-H94my>$O)Vp*R)+mTpIYI>TAcc`kH0!wS#R2) zHJt-1ZkXR5&(>E9-fVrfa41`uZCb}apR2rP+Q|3Mh4sNkeibi1-e?|9^qM+P8EI<0 z3Z`LvMjI?Ql;DR8f|GZBn2cdB)yMtwl};d2Jzr_ta-%-sGFS%4@Z$tJ%JgYFPTjGV zcgs;8g+l(Fqcn$EcSerV7Ub6FDD5Dz920%KHb=P&F7{ldU8J($q9Lek>stP1t}+V9 zpL3NrL0RVoN)xyYUZ5)*y+C=VIkt_-9s{-{$4T&;2O(=f`1}H>=UT2Tgq6%j-f^Mw zIaD`iq4F@cQ-upw1wLMXk@6H|zPU*00WrQvUoos%q&(9HYYARJU+m~Vgb-{KDSELZ zN!Dldx*66Hu8=G)9~u2J5HtM>j{ zB?0ELjoL?fa93E-W}fR+_Oa^C+Q+LD_%_G8k=NOvbmCp|mH)xW5{PKK@}ZzRY2l#d zZY^6=V*jhEc~}@|*%?1(KizxSw17Syis8$@0*vXa20Y7Oj4^$sgQG!@>3RASc}Ol1 z@=|{f>Wt3A5<+=ZlBv#^yJEEJEy@nN$;Gwf%CpLzOVG~X3S(dbb}ZsePADTFtAB;k z*NX3W;rM<7{Crr=T*OzMP&(TqdFP@_x)ZIVUT%PAhwG^6PJZ(QEcl$L;W}J;om3XX z<;Y28xM>0Jd`f9yn!z7CrSyqX{k>9o zl9e6dODmNx*x@7EU1z|0<~hPYJF9$X^BsXzhQ1M^e^zxw3!GIlO{SmtcU92)e&TQV zTDQ_ltCXX0t$)Ibz^P|r-hk6TJB*s?`w#_3`TmQ_%XNwl!_uYbu-5w$EXVAynl|nf zoN6|ywHU>+U;xL!+SRd+e|Q5byN)|-gyhjUbKhp;OaSLn9`nZzr zpccc$SbLSP({fr^-EquSs6C!+-OQ3QcU%C&UNl~!j0ESJy^yzPYkiF6?BKZ_t#|S7 z+ghJu{vG^KTkEhS&&J#7zU{1Uv8o+fZaZrqI8sx{%kH**!dyS`5gn`_Gv7}bf1Jx5 ztqoZvMLy_g?Z#kSK>_NWbZS>RTF2L6m78WhX6+3p?s?zGtX=u(Zq^@IWdU#WxOFH$ zbieg+mcE7m@VIpVf2+H-AC95+Pgw8fJG)yufhhm-3G3@DXA3WT!rFz8?_qt-w1>Yt z%({maZ{dB1TRZad2do(YY9IErE{J6XTlmbUt=+XDeZf#vR{X5Bn>M+h6~Co~FN>bD zrklK6ecsxD|Nfk{El(d{9n6Y0@TUh@llXJbTYK@Q1Fg-I%C}+*!P_Xj(Y^Kd)E*sZ zod{oEF64t>v_8zzxAE^^1l2=T>sq0X2dR3~sAgYMrJfP$bGJ0Szkf z&Yf(3H`459?r8D)=c6swz~Y{XuhQ4)CVk_&7QbB2JOpc7{q2$o4{z9(wp4G2a{gbS zyhFUzo7QAjaEPmTEk49Y!qr@k!fRpE=O};reXCtt`KC3$X`}4mu@h)v*g1f`C^$S4 z;Q#*0n%pN752E1KADjk(5U%VYw-kSv0AJwa(GnJs7jejB-&aV_r&@dR zK@O|6p)qE_Lj8L^*e!!I9Sixr8KChShqb4@bkhZT{KyZ60D#RRuBF!2KSw29r6EU>;Iyl8E-Y+dIVx5v!;Mu)7L`i8d)3NDYH42HNd_#me;AR$S@)IotYrpS2-a4v~Z9 zBy~$T1ENxJ@ns?{SAE*_Io7VGI!0lPmo2dNHyf)d6E;x2xzzyf z>&~;{zEY=o)~RsWIL|uY9ZSpP$;+)>Sou^wV!3roJNI{oab68>=(|f{S6AQA*F#M0k)paX zdCwKr9?YA`-&(PH`$5pOL$E>SNc&xYJxOD&vAKe9i0nRWT{RW)7eeR^SP3zFHTSv%aicqpWd^oq@ zDtXMX@5D28Gf!GO#p2<=&Szn?UF9b#ttT3Wj_?`9z5^FHkcY(mq4Rj*LxCY*tcH&V z>XwA%T1lxP!K&-LU~;2I+IwfMGxe&*RaqZm4MQiGjWWM5YWnhdYwOl||E;PK&>d?U zvIi{FO3zzguY)xmbIsa{-}K(NPMA-dz7gj?WV<6uTH((gD}-6*^V`Ub!USVHUA*DC)r+Q6$iA` zBwK9VdwvW)8{pZ;I`CzY9rofLYYwec9Lj4mSoB6-&wyv1G z)NZS=c|!`}V|ul4vo<%`)*=qiOm1igtAMl&{&9O-OO}}oDP1jgKboD&SYHjbv5>x`oR(dUfaZ^^n}$P zQ@g^c?aBO;j2y>9?$%6#lImX%c)KUGg-(@@5AUWQ`2H}ckxQuTu;ZzKMy=78;dAvba+d`K8 zBVX6m=3%Z?{OxYG)+}|mmfp?woWgPz@uLH6eH!E~0{^oTPIuPD(@MBsU9gCE9t8Kb zcoBCDvZ)QqBM2x1ZfDM7er%AfDXU(@YvJ0wXddZ^Ym*3@Gj;8Wb{Wq5z^R6LysK(^ z{l3h_I*^=zFG|@MP?DhjZ$g_@+do&ygkasLx{qkJ!)yicb45q^ zrl)M3Y@Ub*H`qHr!mmGNbFrc$+WZkV*dBN8jM%l)Oz5);Ii zbuhmAPJYMM5FY>`uLFPm9h>a|9hi&&?!MH4H?`GXat>i9FkHsHQ<&mlX&kG<8`?$h z*zgNuI00*G&H`(A?K`&4;0-#yYlGeHx%}&QZG)Rv&j#mJZNj(ka41`Xt_bfN6Tll4 z%!St(AYU_=H%_tjZJ>i^5eyQU^Y~jSw$=5*FX7|h)FW1)<7iuZC}_lJsE1F3FR5&g zp&hG6+bUS0Lvy}ogU?lJHD37vIFzil*oX~ymk(|CBlX6IwtHC7TAuNt?ZGa3#m_J- z6*oiRE?2>a*M?Vw_Jj8faBjl|A0NRR{k8nchqh;++@T+V{(>Ct{Kz&KUgYzmAK8YY z7yJyoU}i2K@Ug86Ccpo&tsnE}@?{^}`gYc@=_3#*Okr8&Ib zC$`sEs>VP01b&FHW-ZVD#I}`XYW(&8v8`vWwY%@lO?!HbZFU?hsNjE$`~PVB6Zj~K<_{Rp^yY*ByR$ocB!L`+`#$7G7!cG4 z5L84F4^UJd@In!95+G`TC_w@pV37zB0xS|BFcG3p(5O)&1PB-)NPq}IBSef4B*Ocx z?%hp5e#ig!e_uWyGS$`HHM2d_)zwwiRpy1PxPs40GEZO`bB$w3<|9gin*w`>lTtV! z0wO&fUYD`Hy(lnrpgwEZihT8h^shzgQEw}F{{VH6P+?vataU(@5lS5H0eM952I@>h zS_xQcfs=Vmy*)z(N~Rn2q7beYtz-%yFRGD1rBhZQq2mU9S}}rjnP1D>3Q=}}lIgv? zDEKk~B~yx-6XXwvY92V5$IROdfpvkBxzoHTFbkk$>NYP5(Nckusr0-kgk}XwrtkBj z5Caz|nN-e;f=?n)o&=F-&Wl6vQ{ZH-JTD5NR)Lb?l)WfKRt4%v!;Y|F0dI$KJZzM87;O0`kmL^{M@MlA_RFT5Pi)t=V%Lx_YMIodDT7BFQg+$gs zWf3aW+bTw&WFl!VDpsImzGriOg81&@1Wu-C_BLxNP%^2q7X{}((3hEbyr@rcFnI z+D0hfz;+U-&k5xl*Ukdl9VT3`_m&W)fGQ)DZ>+ls)M-Nb2DZCE{YogZ zve4x1AyAhZw(2QR*BYX36R3B8LPvVm1nSvK;8F?ayJc^I;tf%d=5)^~<-9H_~fp*_5;YR^nAvN>;B+4-Zwn8Z1@C*{DBZTse zbv|T#G&|j}=WdBRPdMMxekpO68{!halE_4nGtWDc1rj%(aJ~WmTH<8Vb#J?GByJV8 z^F80U5+{>vd)pOCoXltK#eFAn2{H$@7x}$J%Cym5+#ZRODS^GXB8iiUP`$VxBu?fo z_2TwQ+(~*s->GIN|4cR=D&${P&pL5W*JINwc5Brbz+ zzV~}b;?_099Zrx)nT5!ElOH8cW{UCRN+s?~>g;>(M#qhQ}DseK;gcnCX3-~@{ zh6pc?jwJwBnLq@6AL?<5tRbB5m;5AgGG&3c^9hNQDFM8=a*31C`@Oi65+}p%dvT{E zPKL2J=eH75_q0UH=#_2`o84yr^GR7}9&%lYqU-`Zz z=2fhugul4d{FJr!5ZT?ImD*O?`d9Del}pXbtVxIeS&_!geW~X@Zhki`7d>^SUVMl@ zmTn%%GK%@ibn^%^hwe@@?-4?wN#D=8#Ra(#bm>*tdj*gMNu$2F^C8WTz zrCeKXeuG_F%@-{={} zeia&j!B?z;q@2SGSD6!WxjVyrmo*1ZxVR;h<+w8$O7+4VBQwK%kfGOWYs}B~FzOqS zb`)_#d>Gvnivt#$F9u-WjzK|{X*kY2yAAHhH1{_9n#BYlNHE$Nk7Z)ZpcqE^C+7R< zp?a<}-`D&LFL^yh`jmy~CD^+Or?RqKW6e5j$?;=5tT(q*tf{wnnUgk{W80;p0?q9F~0$&D-l$2f1^jIW_vge`lrh9N^z>H1}Y62YB5^bG$hN zedWF!foAlKgS@N9JSDt9+^Q}1Ctn}tnI3bW@anzv;ZgLO>b*v#$GlKc{V2$@5wc?5 zr;wHcl(SF=p_&3$jFX*a!N{p4+ z=4mX9L}irA|K^r$=8-IG4u5=`c`(bJ!$00;Zrwpj(jD=5_~_^(AoMb|;w&AsXR+IEuFck`U>=23Jt?SR~k z>*yU4zhH;CC7mWsW+Rhf8@vFC;_nHUM&9@T^#;Ogp@+1%~$!uA#+>HnTr8k0s{I6fIsSNs($#4 z5q#L(NBRHYcAI{@)$RD1AI1K~*9sCy)c?2LMz1d)VMFOuN91PDrK5(r9Y zA_z+8agdSFV<01;M?pnGkATYOg31I?kH#1vq5dGfTafMt(h}+m(h}+e(h}+oDiZ1i(h|B2^d!_1^d!^+^u84I zx;NGn+!E{tf)eTqf)eTif)eTsf)eTkf)eTof)eTgf?o-O?eTyTY6p4}Y72T2Y6E%_ zY7Kf4N@xXw5{w5y3AF^l0zohi1SJ#;f)avKDo;YuASj_0ASj{cpeLay(34Ok=zT5d zMSz}!T%ZRi0mJVEL5XyLpoHuoC?O35C1e9Z30Xn#8$nP7K?zwvP(o(VlTb6zlTcI8 zlTZ`TlTbM5B}lL_2!1OFHUdEjg@K@iLP1bMu!0mx2nV3bBm{MCm4pI8P(s9E3k5yM zSZ)b{|9J#N0VWvaA`)Zy-Q{L$_!Y^Wfi0WOr<9wYhavpOa`Of@e+{2|(mcF{uK_%4 zYh_z6AI~F-JT|OZ4~_t{75v&MvnPRY0pY~c%OprVy-I?_)9WNiJU!(*(Uy3+TY|*X zJrX3Io+m-#=|vJGo?a$F;^|cq1S7AL5b^Ys?*&=#bhm`S(>)RbPtTJOczTh9z|+el z1fE_cA@KA%34y1l>=E?9)7=sRPxnX&JUvfB;ORvKB?J&pFOx{{^ePE~r`JgcJUyjI z5Cl(mO9(vOBO&ngJPCoP7fA>_y-Y$=Ku<#8>2(qUPfz(l&;w6*|BxU+@N|zvf~V(6 z2t2(=LX$vHLg49D5_%kD_KLpX>23*ur+Xv>o}MQm@bn@Hfv1;A2t2(?Ld4VSBnY0K zvQH2MPj^cQJl!K9@bo+hfu|Qq2t2(^Lg49D5&}=JlMr}%O0l2^p6-?qc)CYI;OTh+ z5v34y1l z92Nw@)7=sRPxnX&JUvfB;ORvY0#7dklz`y}Pp^_l@bo$ffv2bZCk6J8eGWW*p4Z0-(dlOM z+s_G}zUiEKgEoK71t=-dvIzzWr?Ie|Sg?ja`-{1KgDQ-btV&tKH~s>{I97u1e}T#B z{WbjBFJ@PRrWhpI^n*3LLh z{gYoaTjC-s|Aarl-%aBXsSdFZpiqdzp;oey)&9x*TP%@qW-%VJSU!Tz>`z{+N`e!u zmbeB47g#01GeG+Z;=DVIT13!BAvcJi(b8sVsu0BtqY`=l$%CM9M$ohKxGB!^ z93+zIah8|iJ@#{)Wjzj7o#d(UmhrCAlW@Df5JLwo0`-$9^kM@5m7nAzT3Ooi_N^>h z_|53Yy_k5>(Ad$+a={M8)7k-+zPOYRuyldXw0WRqgB4FC)nKr=<8>pV$1dZCftH6t z;LO%~m}Qr;m7f}B>8;{`g$_#y4wdPZ1Q%!X4#O?O&}#Z{3mwN;G~CiNY?qhXCBFLs z%PjuENQ=#wc&FuIn?G!}4AcviVj$Ugi*opZ36{YuFNfP6v9yM{*ckAL<*33ExAOly zX6a!^ASp#p-3p~op!tA}PDkQ{-pVIEW{J5ydyCJSiZjT8aX6-gUln;KrVz1haYyo#>J5AjwnTPCx= z4)6spTW(7@aG0D)0>hEdGC*%5y!Q1ZFD|?RZpR_n4*4qs^v<$fvKNPhm44jO64?$R z$ox2u7l*frIW7ApO57lNr+#F~LD?BeDE+uBFAfKX@O_RZrsgMHbb!yAVToWL9pKAn zSccqQyI=MPUj%cJH!AkxVD9(hvb;D!%iAt-zY+0@<)Ff#$-FSr5=U3-tCo(e=rGq` zwX9-ghxw^jEmIm5oW-O@;Lfy4W9(~|A;B!?D!ppBfe{pxwN|3Ru;cIzz>f{}2wywOV~G}lf3HVl(Nz%NdKAUT zhFm~VVnwVq5xG_T0mqC%xN^~-R%qoVd`%+yE7d5&;oK_%z*2+yV6^lus^#6|Oz`RQ z$DbAM&;hZEjScpZj|-Hj#)$$i~p>4Z?e^nm!aqc&M0Zlm9k0NRb|7*l=RaT&5) z2AhQn6IB#5HozB5*S*Qo+wA`_-UzkE=}nf}IF`DOA1}7JSoS(zTWrx2yfGGpQ;G~S zwGRAU90P#kA0od7;ZU2N4c{-l3*MNQ_s1yGo}u_75sAr6Jg5%+@onl!St2S!JjI9t zGfYO&{1v630=GhZt56yEp??QiEpA;+OgHF)kAe>6kN@K=BOW!6q zkn#9wO9%48PdROQ+R#s0nzMSO0pt+IJV%V;pDiCYWA0Ku`A^I8u<{?l$NNI`G(s*} z<}+5dl`pQf47R#Ip*RT*LK5JZ>6u!~5Nj54P~Ke8|B9u%_h9CYJrb|rAv~WLtFBm9 zVxHZC=IE=InRL?j+pCtLbRsjn&hju$V*cai#_M&K{(&rg-jW?^r~9(zVV-)TItAb( z!fK!ED+ZA77hwv07|az&M5VrBz}-z`mr992SV^j{oyTue)V?fvK7UbB?_lZk`3^-L z&a&q7Yl`|=Sa}NgMO!`jeIuW#IAD#4J||3S`?$;n;yL0NmVp@jQOvV#bhM*zKH7f= zcC>(Z2vpNq?mYf=p!yvh8&3^VheqWlL1NlQo{zC%rorH$VVIL}UW8jw62B0n!mm4t zcMDcuV1@IH)xqkM5YqB_h+VC)O25L9_zEhj3DBs&uC0?mmxjhZncUJWvlgX(>4 zRN-^5EigaG(ecP$sdRF-z zM=|mW1$ra>RGlA-qDjB5OrJ`ww0OM;^RkM6)J~0H$k(>Bof_TNvkFr(A0Ht;DvT#& zn>;@zCKwq@1+HinSK6!bwlaSmiCg5{T$I84wpXLtSNUrs9Xy4^#3}Sy1>cFI!qncK z!4ul6U6?0>XSY|QSzZR;-(GzQiA4FZ4k#mE=+hn4@swzEcL%jSOF{n74(dY4uy1!% z>7ZzOM|FzwIafNVV^JE{Nu7q$mz~r$DE-n&?I2FRdJn<`kuRV;2NNp}dUWlq_D7J5 zXFIE5tQncYgoz98)=+C0NnO>iu_B%@M)XjBMAFX%Jid>L*k1GbQ+?FuSo#89(g*Jm zv13?Yb%?oizIVGK0yb67=VSY-t*qY2nt?C_>Xko&a~IAxQu?Z+P1f9P#GK*#LhSnv z3Yt6{{=M%g&SY4fvGY@mkMmykG;@yQ*RH+z4#iLZTP%)Z{zxsi*(Q8Qnjl3U5;NBEXch90D?eX;6ir2iaKBFH$j* z$cs(R`|qRZpyDL4%gLm&_>mBX6@5DuMQg~xIMqePXlf0&c}2fK#ds=0@`XO&0~Ey@ zfzYeyYpLj@TFf&=|KbBaW2pL~{f3yxffO8y!Xop(hN{#3VK->|fxOtFV%8n%JIwtR z4;rTK53Ajc?Rgx`BK*WKwJk;C2pf)Bi0i+Gt0KY8n&E1c!b-CF?mICpv$J{EyVSLD z8MIlJXRs;CNR*xr1o%66Vh~Nnf^4JqF1241R=Sn<9H+KngmA~>J*obE*AMlX6 zpRUcut0!4%u5ocZ5{TZBaTG(=5x*PzU2*iY2ngTNPYg;ts%n7}f%Aw{P+PfNA|fmG zN<3!tc|^tO8Z0EspHwr4)~^&ocK4loz?@L@96IG76bhz>haT|DXq!ya292PInLSy} zZjmXEMCi)Pv3T2oICT+E=M{pdLqNy5htW@|SCj#XiTDALdO7kvOI1WQAicYuImd^{ z0R%at%#ZNqhOU~!lb%r*(sOH5Zg}n+7QmaH`-a0B_$Gzy=zs3IDXJ&Z``oc2IJ9_Z zWV(AP|)3V_begEmm00eE!{ZwS7dze7wW$Sfaz5Alee# z72p{}I*I%Ryva+n8bCgKNnJ6Zb{=L<2R)OT3nftjAibGhLI@G$+M7x{DaF^KhFT2J zvlkfbWi^Gd>=iuq6}TPeyZP2v)Hs&Ef**cG&9s-Vz{^b|DT{at9Fu5TxOwVK%+TT$ zeCJFxmZiG+@tJC?@I<%2E#vt!Rc8c_PgK_vpsg{w!`mX;%?G~1&s4Rz zj4ygcjW*S#mGNz_sIeh+i!rIs+xW3p)Kw058VWZ(L>XExnyI!ir2yD9Q;jhd0r+XA z8Xull-&{UNB%Z@lkF0-=Ds<6bRb4D)34iN#^$}~)2PC?~7n&wuJRTPNhF@P-qpa1p zsEBw273E*hl)bUX-EXM#8h?ejpuxuEmBDn*JY8~vIW9_c%@b_ zBk%_%&Y2)c5rzr5>|R`?wh!^;H%IE;HR)IiZiPD>v+gU2%g33c#nV;KfQPZpYbWA z-s%l}-=}InR-R=v-mE4m&Fix0P+UMvBl;4IoX_x_FAW}Ve=2TJ+STMcgl$bCQ?Jcx1 zi^4^AcE(ubRIqp-AO=+k5|z4ZzyH#Fj{@1URD|<|BCM?AHh|t zjMjzfF`TbG#Z5)(uauL!x`;NqsTD@Ez3S0Gtv=j=P?(Mce@3)}YX{Vb@P^S2jQE4< z52i485++i6Y-WwW4y&h?2IVuQAnW^xg}yoK3Le6Jh1-v+L;L6X<0XirHcnE>c)$4i z#zoXfC|pu}&Go!mBkiacQl-hA%g8#!EU5wwg|;ajh5l z8+8~By)@H5CQL_kW1Em;O3_U-^ulC5;)L3<yA*t8a}7!JCRj z)L1OZ2y&ixNqwGzoOis8H4(whM_*Q-VadmM?q&6UbGE;eNCvUUh^$rb?&6Kz(pE-0 z=?S3{ku6?rV}09NkWaJy#)^=()@WnYCbpmcCjLBL?zVBU9Ecj2b1-T|f zKTQ`}n6L#*-v?fTjUKj0kRJV;#WU2Tsa``D5<^8xKDwV(OQfmTykTE{fu877YHZQ} zLzgglpJdv87ZQTBE4WW~s=SXl^<4iu_feB3zWdxTB9KHIjz}4`RB!dO6X;|kH8p#G z_c!z-!}Q|QxR}Iv=%vI6ZyZew8Gbj!t%hAQkp&2Es9{$j2;8D8I^vG;uxGFV*8fn3 zvabeMJ1H^w7yKEE5#zqM$q8*&Famu?-!+#b62<97_PwqoTTK5d9~PQ4){c&PQxn++io zhFWhjgrpC(4wfnAZZ;QyE5lr%_{;@Yhgzk%0Mne3;lbumhHQFwSX~h5q!~lnR!||D z__OX|d-IP>cm_Id!@!&+TP_r_a=}NCPGQ_Ni|vSBc-ELS+?uFZM8rq0zj|8{@bTiE z)-E0EV?#EEHn2W!B*`42B({^#3sOMTQFmEOnKPpf-`qt6Dj+e3Vx;4bP0vOuj1kt^ zEU}K~kFerIZ5==Phs|dEGQv6>VN#54qpbT8L-m9aINEwZad<;SR;1y35qV~aq1L4F z-^N&{u%so%gZEnJnwt6-W!$k2XMyjxMi}e?>*9vX^GD-A_*M+>|4pVkbG-G;E${Kd z!~X^2zW(rk!MM9kxHaQ8o}6HP8ev+0Gxj`cT?upGDP!tnYkn}Ily#V99YoQ4rafz& z%qqX(`=7NAXQ|)PwJX2-IcqPL_YI%@oOP77_*+_2u^iIJ5QC`%&U^AXD#E^o~8cJs>Dt=-u-yLr?bxE|Qe$I$iYZk|NfirqYyuD|T&Rc}~( zvg+MN+?!Uje^vRv=?R{H+uD(po#F1ct&fIz6A)FMG1x3?`(T!`g?CP}uA$Hj2a~K> zQ4Q1JoX>f$y)An2hdkD{6g_N?$7(e4+B+zY z0z{KXa7jAHM;cb_IM4BC4C@cfeUA6awLZskD!HY=8o>%GjorD{im=YU2n<4CeFQg1 zI!DJ~9>SI)5J5!nht9SKiuep5cJNC-v1x%w6UNg*oO?k%2dIg%qgha*$gKdy%M92Aa8pKA2@uDlY^FD{I(X4j6 zG5)Z%GIZDtK|mFJv(@{`y%``CVd40j$};<-DE~=iI$%l8EJE1GujTKaus+F_E#|+T zuztYO|K@L&Tidf#ag8+!%dMX^@yWRVH__+w^VXM@@LKE=govV7CK&^&txHWlp(mKs zj=qh-&wp44H`o{i6N|*gAj&7s)HlBM#(+<(vA)BS^Z8qstk1I4eE!QN>zfoI?U~Eg zcz)?mYtk($4ODJbZswVrE@O5Nx?&yMkY~F8vhE8~RxNqf);u8-G6iiq83HCw)hiAV zpP*7L>__z_%DPftszfR2ps!{54JC>lQr{AZuNstKm(uGN`R+G(8i}8L=ljKm=jCZVVW3*w)L*?wRd>-oM$ww8QzBU@uFYdr~sw80m=kD@IXi~7#-rT!`< zCSV%IqLL6|g9KSkB6w_L+dVAvYd*cP?NwGNu0wdoa9clCEefrT55jFb1FdBzh%q%# zfu$bj6D+potnvhZ!(zLgt~)I@B*_%z-n_GF3$fPSqSsxjZICsIHU>@2rz3^75E6A- zIbW{YI@?n|h8l2lR2&j?1k!XT{(yY;KdH9$EPXYfXSKDoWvxb={3s-o3J8ME6fJUB z^L(qV#Y4XAO@g12M`bR^k+2j5sU1Z~j`?(h-85i+0qP&U5^)33OLj?KZS=C)3Qc%` zrB2(L=a=|Tb?-(`r#GzXb}Po?nHMm}KnXOAmQ@qML0ZN?&!e#bSVd?q++c5zBs`j~rn8#p+dSXVBmX zwKnoI-96AY!CGfvoyv*oV4~nAq#;kq<;Fl;so9;23h`OfX3&#sth(LyC2O0t)62TC ziotY4C2FJ>-hj{DY0T4Y2OA?-?4zS>_u{g7l+DR%F7ioZY;h=7jk4XNz)AETTPHJ} z*U2*mjJ@4SZ-D7JKi54PG@2a4Wt)CYjVag-)p-s&{pFicH3Y=<5S`( z582{)`UAH6O_^(t^3mgM-FWmk+wiuvoA5TgoP)JvcVRo`TiQf8`6>!?TDj>MKR@2) z;%mp*CWNGYc8szS@qP~qp7a^-@F18<%1-{wgSPgpa3^2^>FsCng$o4kF%HL?bZ3y39*~H8r8E>1~rf54R^i9v^&+vx@!$YZW z^0xEe#@lpj$y^GB*nlpAE9wv1X0qZx_&wuo-NnysQ=H_}{y_2O{kdM#zxcVyh@hzF zCm9b-u$8g6WW-B1&y7L)4SbZChG{pIGnO0U9*F>gE7)heKGF6iG^VTh zz{$4WDm*vo%?6GLOu!xs-|!m#?qtMrPFusjm~88A7g32mGDmIyCXRJ(PKy zNS%}Pj;JCMUQJhZIqx#xrcFvjR7~iv7Se^H4q&wvdZpi?GxO=MZqh6HR9{)tq{;u( zl~2skG%bzjTl5>L@_l%MxKF6cs^`ils8^UlVTV_u=%1f7f*07nn!-vylgfn3&q$dt z!CCtmzM_A;m*kzKdT{aQYHV}NaB!ZAVEvE+X2chXI1H~e2r+ZdKb-zaeo{$fy+o!d+rEJc6t?@Suv9j5b2lQ!6zhs3xd z@C2>6;2X3a_7BurH)2^?{K=-;BP=(I?{117g;~73sW!ArDfwe=jv^P&K>R#>azn1; zUx?o`vjeDrO$f)#^elc~Gi@q4Kzz|myL)hbGHMtnXr&Lpp=z3V6d9ol1a%rPQg8db zFd!Au`cf+rdz5}}+-cU%1+vnWyt}4NU`Zbt3pMRYCALyN6ls~lrL%`Jyb1i!yotN= z*K?~wd%;>u&GMt#1}L%co`ZrYdA+g3p$%2A$Q^NN$P2la_i$;Wt!`lv#fK;b5utZj z;?g?y$ogjmi7b@jgMKwqeBcn0n1|RaQ#_B%wMLCgI~&w0b3MLzp$&$=HvCxI)~_&_ zvUy}j%vrx9Mw`W0>Iow;PTPWzvrCO0@meawoG)mt#WHs~KigUxN}0bqw$b8&zPF9m zvUTDzdWIxC0zGajJ&FwRkV0UR$i;>(%iCxJ`uKS9s3ug0ha8EEM-aG6_8;r-K87Gj zazQ$JBSFpmEMqZ$vaR+guEv*bwSJ199GTtx^E;6DaI4~63j z*kjN&X*ECIUb~m##C7eUCDF^-(Lo#QeK`#lIVgpichovq<;%ITVnRoa^2ivkchs_& zH7}2(g0}L9A}kc?lX`a1hFc47QSp8kt&P{G_QoFHcG22VvXhHlwD(!@hkSNd&B@9? z;F^TUUz(c{bD?c_I z!|-Z6g(?^kUqkz9>)B1y*(mO>H4lfBdHznV6)v{BwC=dvd6zaBGN&lQ}G=7xU*_>bCUE81mMM{AJ{=_J4IZHgwuZ_aA&nx2-@6lTF z!S`s5wSqE#Q~Gpl*gsT?`p&Gn%t*aQ8)PE4+5Puw6Pf27qsM)k%7mo++a5k*tkxS3 zICrepMLy?9etoPKiHD7RK)Xk2mbg$V#4+FDg8zZ>+BoeZyrsACXUA(jSSYJT8rCTRm%SusB~NkfpqVk71WZJ;$F&A+dwO-cPO zpFZsR%{KP#^&5F?-Ro zW@=7E7=6ch?^SK6U*%#F`O`?$Oj&Cje?wc!Sa~XcP?YDD3%{S zmYc9(KfwCqiClPFYY+1hue=9%(* zLlE}Y=2|HG^dyPFF^;56p7f4(Tl8WX<434ZNq+Z*VG;sv*$_045=ZV zC*Re!_~`hz+CDmBD-Jq6-qY%o4|&&Q%^AH2YD<{pzz7HuYh(qEDVe`DVb=yO@=UTe zODX1OlC?)LK_*t)UAR0tPaE1CJ8;=El^{A%s3(&DFZCSHE%T!^yV4H&_YT4c1#g!iEUrlhdF=bzz2?$n;X5z2ojQ^m&3RvY(NZh1odOh$ zJ~XuRxak9Jw`>f@bDSHcX`jqXKG5EljdO%UyKHPcu~3_;G+X5p&Pl~uy2?0~sy$`u zR)Z+XKJhKH7|#X?5H48_4S2QG#A^*qT*#-VX<9<+Lf~jI#o)OCQ#Y?A(&skop(gmI zsY$N4iEs%m6cyy-DN&4nnUA zDgBd=wd# zYY%E&+U1dYNHAE+#)#oeA;dZtg~PSQ!k$8wOL)T?#+VWf(5*1 znbz7>;;Y0#IE6w`qZ;?GSioN{)4E60_^Zu(o6{kVIGET`pOj+!r%Y=~F{<_+*CLcc zsyzIMpS34i)%mv6WUvv3zr3zUf>8@|eaa?d>=`W=8HEds zrj=S-xN)51ea>l<$(8D(b6S5^d(t>|PCFJNe-JUNn|{y_ziX`{#SapkOuv5ps{ry` z^8ci!7qy;t@smUY^J;Vb&w1#gmdp}Tj`_6vBuO0g6(C7e`3sOF%KQaL5=H(3B#AtK z0jp#Bejfoa3y;6XEgQTXh8eJXrx_&8PA zpKE}(d)E@0nZ+K`wms%=g`O#G6sso08&g315#E$?;}ca^ON@VQs<9U&^+xvLuNRlAL+ z>$E-!t3JUq{?;~;`DFApth-4i{Htr)FD$KuZ@#Xzre*lY>l%^>99R-+f6ZLK3O9lm zLejw{srH9i`Jp9M_L#8DLr}GKqVjs^k5x`Qh$3^CpU`?Rqb0UK!&}(L(I9@* z!aj!vF)rFJ261V${Q?c*dogw~h?`^VG>E)B=7v!uZ$XGKQ)0z1@`BhK#__jUIgWS5 z{SV{#PMjP^zNw}CDh=a?c>Cb`VKn}Tx9<&Nspt4t?d@w@W}SmI4B>$Fasu*x@urrY z!xShrX}6lShNpC}H*c4_2Cq)A2@Div)JxA42&{idW~c3>f$?xuHPY;{-F_y5Fy60p5OGmpK zhfd$?WN%9+QMYum&!TK+-8$RH+#2217~94E9kP&}G@^Ukk6{VAV$}7qKgcLE&jbDK zLs<47#W=>nc8t z7J8~I^519K+Z%VzvOAi@B!45HAw+KP;d%5mA!s(^8FKgVSqtn>v!Wt?W`TV(E8Ju7 z6nh(rOJX4T%_Am6fiPNpXm6yjq`gM_#r6XxmbK5gw$vVh41PO##0vYjENv%0y~5tA z3HevYHidfvlJ2hIn%lmea+MXi?E_f$r$(cdcDu=3u^$gC^ycLBU9;clnqi0Ean)Ad zB-7rD)ota&GVKH7QnsPlc9^3=pQO|v5HFsE=uamD37(?6w;7q4_7%uEwuS3!@iNhI z&RRQ?=WgYj*4qC?i2h+y*D3|Wp`1hX<7CbUg9pEnT78MJ$-jT%P~|Qz23fvAQALuHuoTif6(zC_#x#v-s+X@b)@-zgQ)WJ6i^pyeDf$XF;di9& z;ukjAGr>XAKDDpM<@U|?ny~y&G0)oSIh%R^Z2L%C3H;CX4%kv;t)|1^rfJciNtbNfr?p`K4ma6h6*644P~0TNMt0TNM>zXlRfioXveqLfeh z`5)}P8Ln;i+FOTJp(RxF2%hk`|TY<6PI9r3+DlTeYHd5`>r~IjVb%> zms`}&?Ki6JolPwFtg+z_`#KY1mGJv7+ed_bLxzubdi7dk-DNBi2$j6I);>03o7lHU zO@;4~U&l*o&x|klfGhSc3UpOh?5&~^!&s~oC!k@fp+eGsup1VU5fnY^3!Z(&J{M_9 zd;Vn~p=26~f7#z}JgVwEMd0;~iN8Q&;xAxK>I)c?`U1wJ$lomQJbyUY@jzG|FxcRx zUEsOFj_ye0VN?Y>5U;1`jL{>^QKrD`22U4!GjNB(VloPUFgup>R*fA8FhWI>o0E8M z4R=%uj{SEN#~@bpi*b8X$9lN+z01#;9U@Pa&EgPws_vvK@>IQHafm!sTP==$B2U$M zhhtX|d8}@1?s$4g;s$VAp@WYxA>0BMLwKx69JD(DU^QfE#9jnOaH`9Yb@+hg8Sv~4 z{Qee>ZzFOyVJ@`P%W#o)rz8&$z4fe3yl1rIw8MMAKv$+Wrk52+n-KlL0^T{s@c>3Y zDaO$rm(4Mb8kUx3d=l$utyr_LRO>x4oxzxkYayHA`4^1AT^xD!jtz98 zKbANS3^~oZIhrdrc~Htk(UmaTV-R`d`(1o+cl`Wad|G!0Tqcc$-5twtbkD1FGKTha zOb)%5+|=*}m|o)dS3{D7P#B}gVNKNh=<{5o^a(+zAy+q1GY5ufJxIoI$7h9vVb#lc z?EuF;q2*{ot1aI$%jGnl9_W|>L-BlGHrO$SRm|s|b;rn2WUlkihC=^l2(uyAU%+h0 z@)t0!Wb>1KFdOPOP|5rGx4L6OSSi}-aH=?9bQt1zk@d>Hc(DE!?s7RlUxQnC ze)l!QE%M&s`|ogcVpZ=f9p-2q=0PuA(+lSDF2fw%ZlqY0@_(UNhF(Yyh9qQyV(Rx~ z*YPriM1KL#SpA)^2G3aTFW?!AzvB~zJG!#cZ}|Je9W5JWpqq|!Xx|^v|Z{A!*t!qLxKu$i{KQ1p?cM8-%MFn$~1*aV4`yGJ@6WQpJM zKSw&Q`@dA)>>fvt8wbArh5b*j^0j*~OjYmj4fi-&h84XlM!xJ_8hJafy2tSoOI*o| zMmz2>C7nIOtM7C4G1n`(@saCkXZeINj)&pyz>P7ER*tNFVoYffCdMWYistS!{ul$+ zGNpEt98&Sy=zNcFNQELek(PcMQazO*G85_h$=<=|-RX9fKP8^en?FDehS0hpPOLd%3^X z50x!9Ha_M^Qo=oc6h!|16CDOi5!dH==f@l&{NIxtLs;E1zG;%^J;y>Ni3LTkUB%y;?)W!^xHHoo9h7B;`jVrQV#>m9c=!7b z8z1+wW8DpCx+7J1gZ#!nO%4Fl}+m4rz zmjc3mV>I5|zdm&=3S>oJ@#9+^yIJad zp0Ujl&l2bJZ?-uevE~Tl{Ea8}Zp(3uV5wj5IXMo5Tgc_V=Qv&t&(Fn>kTUf>}D-=&sC$%F~_^Cb+z~SunC9M;Isi|Pclj|VTDqeb|d8*jf2M>_u|Ou zIo|q&V;(E{nQuAaNMvO{^B(1nc9z03a3~I;h=UNQg7tl8_;clsXtP%}66{lr818aM z%TUC^z>Dv!XMM>FD;;ok`qH>q=}^L~shRkwT@VT|5@p22JefTBB7S4mM&q`NjuFZ~ zoVXVL;TRXh^1tF|YaQRPVn}UQ94?l>+W7v819nuX6Ds}!pMyG~)m6xzbt{ZVuR4$i z;X}T(&QW_aIsVvnM+?5|Z^zVs)MT%ZvGadjbG&@(np4*t&)r;OfZ9gIlyY`I-=a8g zXYR9nq~d&na&BZR&OwxO<9Ef`nHARYSmx~6z4{$!(lUc6odXkMQNuE(&kgl(!LNpq zm^mYxv`m*ybd>H9ZqqSdw`o23gFnQ~!I_W`_|#9MTEvt6#jMw&J;oTaP~IZkF`wLG zX_=>0KKTP9#O!>+)YR)RR9=c@^}Mjy>U`f+bqx+;#3xZ|X3VuY z{|Yn}0hj1jyGlSXQUlPd`sg?Py#Fb*kXA<~n! zm`<`Mlkyd|Yx)x0U*ajDeI|~rZ>Jt2>Wfg)7Ev+QucZBribDI0&(KGYOh9uX2nl-F zje6;!fw4Bq`H^BOFplxxf*nr&cnfEVR=+#IR0#0x4ep9|-fharyueRxcedbLqn-V% zxlrhc-P|C&3xbP2F|J2D&oygahjAt5DBL#a_R{BueptnaJgBSlEuYO#oK7hs!+zZ- zMp{>A4P*Ipjrl#CeVVc2QeH5`xysj6p*NO7v!diYpE(pCQt3Q?bg1($R&a_RzQZ|< zWn}W9!<_f~9?YaSc(C=uoYR9F)lmqO58%p?#N$Ugmo_XHjC7_oEI%>I+09zjgX%dWa;y_RAUJ>A>_O)>NA@|y z#0k}N;Lnr;(EKgQOX(+#_=lWH3cFHiY#8tS6q|{2{N!WKcw^S1&PfU@IA@?##G2IM zM)>wCNl|l-@0{d3(4-KHx)+st&RF(@a~mS0o#yvUcAk=TVR|}lR&?4J_>|M3u(H#9 z+|$k(O{%CLw*4SgecJf(Y3DMyz05IQn(Azgo$+a7`LoWAN|Q8dg%Cjj*p8ew?t9+( zEvWxyM84?kptMfCfFE!i=iH%Ej|~wj{@5^`!4w~?XP{0D;xPX4OU`J%V7fCbp>|IF zhDt}A11NX?ZiqqvJD?hFyPzl{)O!tfVz7e}Dy1O`IX8h?)DQ*5AW%yiqL84Ha4Q<( zDAR9Uvh2?FRc(m ziYbzL!MOWn=Rw7Xg4HeYg3uOE3d<_^`q!OqR#n08e8c%< zLiNvrP%f%!0E$lu?+Uh}Dq0CMfrAbMAg$jugpziVY62@w*cVbSu?MQ}Rr){nD);s> z+P&#~0kN2W>#Ou|#NF~Rd^8%5Di$v^{ zi1PDBw^`2nLgkN!Rvf>q`n-|)uJZ&AXH@d(DbCYj`83z!^@>X4(f6GL16g8);a=pt zpZN|c=2jTBi=AzmwfZ8+S4PLmgBSSJ6aO%JEOj;uG#A&`09}63xNo_$hl$mlG2UD0 zj5T$W!&FO05-^xGbj?Cw*j}uf!GH|E&JY~(1_EF;rccgU<4A_{Zo4V<+)~VF$@>6T;19M-{P(rae)Vl%&%+&W(Uu?I={)FL4dVHayFl_5s{te^A4%`Z z5zmR_|F&)9=l}b*pQEjh@w0}E|H|ji{tVmV+wz@lptO55-}zK%SmjkLBQbhKow29T z`FLQX-0LXcrdMOP(enpJB-t2eX2AjK9mAOPN)!vc8B5kZOP-_$b*fa$)O) zUnEC{5D|LP8os#FIos;~NZOhZq#qEz#Nv;5n{&>Yta6UA>YQ_22+RM&xLob*uBdb< zwFAZj_ed@P40~1oi_Wz{tYWos`m*zR#_EcU`~PyrF(~3@)?uY5i_c5fom&(bapM(N zUuBK)nBp21+Bk!@EwxsdUqg88xJZ}rZJ6s?V-uZhxz<0b1K-=i)t$GpyC#@YFCAGL zbEFdIqkK)f~7GFcp#2=>wWR zmHY{UbhjM|TYQ@;QLOS8nI1G#zjpolpy}`^^>-aK9qC@KUpGyUgQw`v0izL?3Cf}C z7kJITT(GJY8MlpaH4kAG>-gCFT;;4HoA^fKz!#Q5hfv5m zh?6Wh*g+}MPfc|7pcqe%Nv^J%XtYi=3NSB*STo3sz z%5-9gPF?4$;PFqmIJXuMLX9?Fv@#g-^KtykQ?5s6)fq7PBwe z!&f}%dVp?l@k!S}Jb%~8E*nLe8a~<8nb0#QBkpRxho?_=wPz(8dBJ2?8mrsJAAZWU z$&|n27~lA|E5e9>+Vz;yF&m0XF(ZWCF4142lSrxl64N0gZswmp~4T^hSc1^SwugBaI))HMoEDf>UDPM2sGhEA+ zuzc8Pp&qK-ZB)GCY6%1J1|IgRt1YfZk5^s2l&}JX^aAag^~OuDxoR0hZ1!j0bRm3* zdne!Uw(Dz_xSUU)<(j|}i}~JJuHkUT))HKu!U8Lcjs)=iCtOxze6s5tGy*^KJLY4Y z63-Yj=DP|QE1Avvz3=)POHQ8;T+!(F&HJl~xF#a%HKT+)L13U57;bVDyrz;qq zU0)g@d9G7Xy%@iI?&{L0!4el&=$dfD68Bz_D^>aLmbh+v@uCZ_8jtRE-Kns|Z}{4M zuIb}9<>Tdq>f7l;hf>;N15I!d{h{MU;0PrISNIUHZB!AQM1N>A35{5FBH%hgAb1NI zN6W787mHofS;0nry4VFv#}30I`8i~>K4l>8FhI z<*q^4uPxzSPT>nGTEh38a<$`gPPt-P%2J6;TS~}kaL zuvNcM4Fy#ryaJ3k83Od52v5mXQwjmhxeOG&Lu?=l2wwL!u=L9C4n_O?93Z@YYnUTr zueb)X;n?-aBO{^BE zAc^`vMHoocQO zquA&=TzN|^5q4}p&+(6~5pB8C8qt(hoa1e+5wEh^N(~84(>y{*s}w5x-IBxy!K;?J?^s zjFv4U!mw?&4!`NtUSmYc=&(X;aS{5}z#Y`IjI6V=WX5Stqu6OEd}Jiez- z#9WrLgOBMO(TdgX;IH1<@j=iODpDc>F>y)Ej}6niO1C4JNVyyBZh=m?f_L` z9;w}BjOZ7UFob3PY81T{(FPl<3&x+bBVe{jyI|DKiD0Z@JipL)B0e((CZ7T)ZN4BP zl9wk(?4_~Io)^(hjP0@c5%+gxwZ9r&cSZE-&x)#yDVHKXiDDVQ@n+V@sWz{xD^9;b z59rki8Sh&ow+34C5qKcRu4iG)?0OCMdIcx=ea^@o3}Fizxgy7~oC^MkD{{Cuh@DfO zsE>zo0VcKWuE+#$!#Ms>MC58))^Tv(-f&J_2vX%#$oq*mjf{ND>W%a;g{Dj;1%ybh z_T^+eZH&)#v^bQKjEV7ZqU+z)_zKEh2w~dfMR8k?j~O zyUf3gjqJ`!41Os#@(EVFoj)8GxhgFCB7TWWufA%qmXYxD$otFa-a7IZ<;FP9k(gr$ z@Z64yxguBl}W@iRW*_wQeO} ze_JG!`YZXzog)w4_?Y#y`|~fmL^^Q;12>Ql3A%67Lw?ll*5rD0k395WlN;CLpX6qQ z(*Y^}bM~O;yTx-B-WJ*4UbWJ<8)^t~SFgy&>E!1dy&^lsC!tL*mIG@XsZ{dGDko+5 zJOW9l=U&P8^oqRA+arQU^^P3a)PGy-S?}?k zy)on!B}TJ8k)tpjOZa1bBZUv?yM6IJLSoPh2Rf$cOadev#yg%XjpPZ0L)7 zqF0f-gk0wX^YaO)yr;sc6+~fce~sBy}Q3{yW4i# z-QKnp1gQ>!aH2wkASH+j6BPu}rUZi^2!bFO1gVAw|L=3oc_%ZINxHw^=kx#MlX=d2 zo^!uE&vTyhoO5os8eUsmm{&m{pzYoGH*ooP7}?^!f4lV!VT)UHhc!R;j&e6-x%R9= z0L%x_7B}2ntZ^rjcbYv4o14y=5)^2vl0P(xun<(Q$gm_YJ4op;|O)<~$FGF*Rr)S7I8>B|R? zS;+!s)??OtAWzjjX8kL~x}&ZqesAp@qSgMyUw_ito8Y)7F#(!ZxVrtx`WG1L^Px{! z-?Ds1;7tujjR9ZdtDd%oSvHb8nb$pSebBPQ>+bIQ$1_&E7gq8U|Lj?7LwM6gOtJpB zLWY0xob_(wpl?s%qZv1?ye>_7_2e3U~4MUbOBEuP2M^{w#MFf8r(UeeiaD`I7Zs zi;w?_m#z0u@By#*1&?{v+S~vB5DPj0E2H~gwSL-LD>}x%A7dS?SKv{~eNv?V0tWRp)@^T^Cw^t#Ba7gLpPje+qKvyJ86()aBs6&%XwtZM|%;EzkT5pCj z;ja^|Z-?HKKL!>L@vQVPYwNjX%v7A3qSLx>ueoTD1| zy=TK%WD?U{wpJUjkOC$mvr2OKH=gU@G;3(b{<-5YAxN4=ljMz%yI{UUdluooOS%;S=NCAOEW+ZXo?_CIo!=tNNmW_4ptQf zQHSAbGhEfPtP$Ruf`yi>VI%^$N4YM|w&Lgkc3N6p5@0EC%N*+jt^QQ&VX{u~pXONa zxTjzZrX)6Y1VCGXf|ZcFf_}o`QALhA`XON*(oh4r)q=}hUwt^&dc%O?4YWGKYU8)K zlb4PRHsO+KS~hvst7Q}1-NgQ*#!5F-^Ts*WU-9W3Y+?7vlY*=&3ll2@*`QDJ$i2_> zs3Au^{Sf8F^k^h^nM8|HlxMmAFwgpoHNFIW=BH7DlCpH2wOa>J+CAS}2XwgS0!K@R zv7ZG%a;S59HoUUvhw7Y5jzaoTbuJ;dYohRw(=U@hy4l+Mo>~#5IVlueBsCn@){CL- zBu5VY5EDVc#r+t0ZZ1reOi+TPQ>#y(0qor$jbB`cN(Iey6!k=bKHaYU? zM&7%UoS|f-M-h(w#ce zYsg_rqNq58^5y(LyC4NF=LdIL6Z;`+@%)iP!;xvW-6@`V+u-k8kuQA9mh+_DEuvK9 z3gkNfDBE+P`f113F6XcAwqA$K#_qO$1<&?T8_3NINU^GL*;kVHPZt z!dV@@#p|!I=9jmwgdki9D_rhcS7m*dnrhHq>y3Xhqd~Bugs_Y3>w{H4lJ+(yg(3B4 zwU18-PL7M41oBN!)>!0PvDZ2*#8Ew5P7AMHp;YFpyIpIC^JflN-|W6_FNha7sGv9* z`|weRAKGPkxz?JJVCsTHNRXrxAdn+@|6^bqLk?OW)e0JU#zE`j32t1l>rExQ9v_YM zF3&;hKP(ROnlW!Nq$H|}QMAGJ@gZxGMa%!34>)3-NRM}w9kIR|+Ca8R($qc+Gs?6z)%@85DE6hJ)}(k-2klJ?f^YP%nrp`Tv#1%b!DHnCWk;r!At6` z3pHmUfAgFbrjuW}%FbCEBec4Ce0396hThdBu>;b+)g9nnuUI#Eb-yI)lIjGS<%TQP zVVz8!F!>8_*Ql#jBXn@y80buHU|$j--HV$Xjic~GRJ1*$QSwl1Rm|qfpLXz>7F!Cx z0=hf+;6k9UXT=1f5CgP^to>OTdBnmahrV`5w1|%b1TsF+?ZMw=0)2h6+#-aQV)Df5 zfby>=w?FGu`HT1-;`%88@pq7CXBj`2q@dR0mw$oe`vk-SMbq|6aNZ*rUQ*E)et4v1e&o+Jq%el59! z&oj%hjjT+AuFpRvyFv=jr^`OH@Orvksqli%f@$<-2 zO~X=hw;q4qc%TObv_Tem#>+OSCU=lFP-NuDmq7dUp*f8z$iFL+$ePw#d{O?&nP{0F z?D7#b1Fa5fe@5`!(fCpMH;_kAGA82JOa!{$|A`EW_**9+eqjAe$W!H?P8kHPzlgtO zFUp_5(hnmPNsM{q@XjIXKbk|u6sn8t|JuF2{Xd!%;@7jLivT3w7SXp?V~+SjW8QlG zE2(*6|4;4rX*9?P5!v3Xlt47H&$s}`X8KZ8IGa3Lul_Y+GS>$z?pko6dJ3i1MFn3d z5<<(@!A%~lykprHr^U(~6l28pc5FO-5edTnKn}SJ=trp;icVMgOrNonkaFMuQ~uO_ zg9?|UW4iwDn$NW&&h`gwkaH8bcPyJmfJScBcW`_~Uur8vczjJBs_2EATzAFWwssg? zHyUez4(v1mikb@R99jc2D+k$84x z$qlwtyxIp?!ST=kHZDsEAp-p}@#mNSgo``fz4<_<&e zsn*K4u#ZRHVC%^p&)Gt?iv9du3gO=093s1_nnI*RVr!7BKVkW?U!)V`8!FxHI@#a0 z61IM0%~K$CWBHN+wm(D_dwt5sy5a`fmRdqiZ9dEO{(8M?Ws@m3+sogX_?N`&$N|qJ;^*>%&o?&<1+9F(m8zHecb#8#3R@OAj>_TNRg`$< zbFCzv)<%1jUUAjGVyoz;HIC=2Ke4UVs+@e-e{2ac)lSSfMPuuoeD;4pBY-nLwRKJK z#iflEdTq&W_=}&~@RF?z7V8@f>Tmw|NLyFFc%-d+NLj@mzG8e@)SNjLW@Y<@hP~EZ{Es5_ zN7{a+{;wh`=EZR`o_;QZ2?bC1|#r3z(ZLwim<0#k1 z6Ko?a-Yqbpzzf&{YZ&daPO`yDq@rj^MRa`P8IDzC2m2j)A3>>`4<}Xgc7_6DW_f4^lOCC>pY$fz&!R-ww72M#2 ze8LQjx3}JbZC?queQq(<1}J=Ug$M1RkwCiE_1aY2eQZ)Ono%{mHdwey3hqZ4a`C*U z?K%_XH(T95^Sfriip7WUKm06a>!UMlJ$yYqbDGnpymAA+4?PzUDb|Y-_?OhL1MB@d(64Fhhxs?HUjZ|(`W41vaN8IAN>Y&mUiCy9^88hj1Da0EZ%b_Ql~^>=ZzgShy0_*?y-XF|BLl z*;{N}Xsq@tzH*W6HxTXV?DGK3dw{+G&doe(vF$0`T=@E8+ryS0_&1AfPs8#xs?c`6 zW6F;eSpP?`!vY|5`BHor(GOWIK#Hwe%9C8SzA1;ch=98VXe;2%-3XY-e%b}lrLBN# zgvy5%nVO$gvQFtM7wk=>3+-cSvV^oQRC{&gPd5{wuz8=$!d~71twba{D?lq{IJd#p zH+5B-P+t@gAgR8R9JQoEBCbI~eB%!OuFG~qN<}rYiC`-PXe-J3tyCUXM+!MoNEMdg zJ5c{5lAOL@lyaf~tt4q#YwMR>g%rZsIssY!-c3yy1 z0*dyTO-2MMES&)9gv-e>m44KvwN>>y_{$||pXH}f$T(=407!pRPL3K6e$)-PRi~+M zQu@i>{OSZs(1B$NfZ)`57G0jXXo z#b-om3r#zZa{8`F!%rvK?^xo92FTlj6k*LF4Q#R7M2;z&;iXAXmBG|Lj8 zmApkE_iRHFQEamSZ3SF$6e)(YLIK(eIG*f*BUn=zfmQ;FY9Bj-5OJ)U00nF$M<)HK zPRgj2)y|%Zj&$VKB(gA7oYSRjt;&__ofqLGI(-=ug4$nWW zX4<5k^rqFDe|7M z4gq7?Z~JX z2J}r;Av{&zR4!3t_u3{jP$#yZ0O_q;B^=dmip{nVp#70n1LhH*h-Fy>NC<5;V15CT z3S+qfv=UG>PzCkLe>H$EtwzXLc2a;gl1!;sg@D%P-2&0(YVSb|UK~6^Z}&?sMJ>9r zJp^cHv(?HR6a$}aBhY5^jA=xO2$m*5D=E%AfSeDagpuro0Ih@*|ixpt7+`v?)m>Ad9{?(&SVmAn$_Yp>;IOIT{w^+5!T3Mk_>B1wwR;cY&V^|VZp zb-Dnpq$mRJScAxTGEIQC0{*l}qGZt+82120RzN%Bk%-T z9VgIc&s0bXu(mA-ew;1@}$lcq})OB0}#oJBkC`x*iDw)wEA^%zM4nzkc6 z&6+Iw4rDb#NE#~(d36l}v{~zIwDRr5787Vq^r5`FjG(m)E;%HgCG0ZjJD1PfZ@V?+ z$Xd#VRSM8bO+_28B6%o+Eft`ZfTBqb9~Ze*5g=CCKn^GUsG*f!C*|sj@8Q=ufFK8L zlIhD4GM0@c&|3deAyX^PlKFsXG!Tq(pRE#$zSKhMi0FXK-P_=)SX=3KH44vH!!w4} z3eZZ$MGI}0Ygn&YT`;yG0v;q96312(XshE=YHO*&xK>2X(gym@rXRB@kBX*Dsid`C zLO^Xckf$=eXe^S``5PM15iDPTwkmUtbRjY9EP=L~JY_W18d4cegf`j1IFh_0*>D1F zF7ek9<&0p(0<=}esU&1YvatkOOYzV~h@!hi&TawPO7P-Bk+VmDwgT2vB49jQK|m-M zg6N*av@ctM5M7#&Er>H3fd?kUw6CY?hOs&U+RFP|T0M1UliONEiDCAWTKin>FbrmMaz;f zRxLm)d5iuTN2|OrHcEiD0v5=bqYQF`Q8lIMytWxxC$b#`T3hXjYJW>MXE&Mr2jUT# zBIc2&5|2C=m2#|f719c%ETyDlQOlIdRg#+5bJQjwfD;C`q!{U=$BWKM6QGrPi&ADx zMZiG0Fg=^{k&;60)SZ%=JLkZ&d%!dcJm`dO?3?02Kx+V@%s&NBx^x3_bFD{_{gYC- zuW3uT7o}`Cg4j6L<)>$BJPc3OLK(2-5EU<%Bct>eN5&dCa|_#=xnc+{qS+J4W)WzO z0*ZhOB%9A^i_H(K1F0m{RRo(TKpTCNGJ)0_*Ru=)v;Zj~hq6c)4OuZoj*GOF6e6H` zf{qK16kcoF5?)1RmI%>^&H4oisbBI4G)oveAwXM|sX2-=LIZqO@!5L%&Y~a1XVa84 zT(T5?ku0A;o9$gCvv#+YwW!N{8edUth5)UUQ3O0s8lOmZT!2;r(s)ccS%<>Ku^Iv- ze>IUKi+)sPoF`<@EG3C1oaGT9L9>J$L3?JqL66)l7F8_wCzr{r!#IuUF>0kJ1Uj#Bw0(k8i+#py5C2D_t*Zt&y3zK#c=-xzH`!LHXd%2Sw!hJKyO!_aJucaF zg123=JsjU4(($>G1p%jC!hUJ7hhL+BfW~DTd$OPk`=U75B#s6*&`0GSaj>af?d7j)GSFB}2HLN_5$Q%gC`1N&GDHUYG{pXpqhyaf7aH+fVZj*zyB6|rI3mc# z)w$PI7h>OIiOI#|Lr~p_ON+}2_|^{g*KXKJZ!|>G%Pb+RhTLR9oxKYC%ez8Y8TOem zTk6Tbv7Eoq(VmObi`P2ZZ^sGj+e7V(TX$pZ@bw?JIb!g>*T#RWSB?Tg!xa^2!5iQeXv&dxy#YrK0n0w2DnO_ zoo;~Rz3uk*QyR!NJDgo1Kt`0}U}!d8Qb2*ke8NWXYP&tTTlG|olcly~Y|MuxTONb0 zE}b^>;7td+eVJC3!58cH-}Z9u!6*!AHVXw2%OtN{`cW&{f<3&eVegX?7`7yMK%-w~ zh@y6ZF)CWd*vBm?b~}G*hds0>Vg1We-7*6I31vwQOxe>ipij!ZTBh98W`rO`aqbP; zEJj~k-ymsw4&C7p9dHwbGPo68Q=iF`lI*Fy^2i9cV{@Z}0?^~btnnaz$i5fjs&s;1 z*!dKN7#$2E$|$UFy12i2SrUfaisSrrlKn=TdoCt<9&M$;+SU9Bp;X;&{>f|h$8l+k zd@P0^f6Z?2Kd0C`b0ftbmU8Aal1^lsiS^L{7w>V7ID;?6E(%2zbgrk^!&9r%KoG*& zQ35m;h4FtH*^{fW=p0r}-K+Pdhlq&%O_gT7{tH~1v4FFW`GICT3Pg#nZYFUaKqExx- z2^r0<5TJHVCzJRr`Y~tyeqNGl|2pLoUGNsph8F=)(wXGQr5{y@f+AN&PkT+rt_4fb zs*UQ*Y=y}H+VRr9_9RzsU;8#(p0?KYUVnR!4q62+%KMc)!}6W0+aP;foFg44Ht39V zE3{-ZzyEIg!T&1M-+19Y_J99ZLG8TvefHnDX5MR0gX!W)8Yt*dj9p_p#K@KB!4F9-k z5xnG4dk_0}WYiwsN>F~&WA-_kXR&L~WA?cgbr+X>!4YM_gI_hSiBH&TI@lZbZj;wC zf}_NZO-u%Bzga^-$GnqWr%H)7`Q+T-s=dS_w1WU$9a)Yf7AZE#4j7LsEKDz0_Mqp2EODy zd+)>&(%Bg-|0@8cCv<cLHnD8uAsNG}oZ(E+G2R*@?Qk~HV+31>Ky{gtOFMk$nMyS;4mJb4IP!BIgoy)bMBo@ix^Ri%+Y*X8VX3a z%fy=+C|STpIWX&~Wy6^=0rV?-#t5WmV+~Xa(-v=pEXCz&#DZ~>T+RB$+Pnb4d$6Wl z0LAh1XR5N(iN_v6C)`-lrYD#NhGv57bCmrgvg*w02`ESoRfy+gTw2)flkOw7iW1 z5|QW@bjVD)hV*onPC%8S=$b5hMgbDi1Jj%NK8+@%7tz9Aljh>$C5V*7atNr)Jl#w1 zz9%BhhL?>s&1%LJ;6;~Nz>uoYtELon!lV*oX(ADXXmf+Yue!tKgj0$nKhy?_6=~WT z`k}T^_EuIxK&kjVvt(YUn-I&+Y6z$y?YzRDScU>_673g2PzO#0hU{7F!H5XAePX{O z@jJ3PNoK_a)Lf`LEt~f{nhVLSgn((0F-n$X3vEqwHg_oe%D-+ef+yl&a&xSzy)stq zB=}j`44SQq45npCRM`n6i2YsS2+Gp1dBh7^hbiawSp z3PiUhbPh^yFHJ`zJF6w2Ho~)K$TDmn3BN9^jDXn`YP=t!awP<1SZR_vm0qLBQpE6F zlL@-z3|IP7v*^RVLD7kpbz{LIU6NKq^#s(^a&D68O{bD2vP=T1|BF-sooPt0D{G=s zD2kDXvs=V$S5Q@ZuzUilX)CAmmp?=)HXu?WJM6>%niPjFXaFh>Z_OZ*nk6L-GQAUp z@JnHp1XK$;mq-qiiAa%5+3A?|6||(1rmBstBcMigeSxf1eLnmgfwNdluF-@_VZ}26 z)ZxK;K?nOJ)S%NtO~VSmtdifk1X%LGd(Rt!_BbiY^=zCmzeKu2$}^}7^tl_nTG_2tpP)FGo-<{@CtdNlfCZ@HBk+b6Z& ziA&ESoj8_5psSo!WoKm>rf-EG9@ZnEWG zKU4(|ArYR=s9ChzDG*1hbB}NO`DfM9fCdRTU@@YfC z2*xZD&k!w(G7VhKoRW&|eWFOjNx-#r08xC&$Mzc&3nk%G_V#9AK?n{J(YCTm0;>0# zhH=5ObC7w9@hyhkO6t9CtdM}}hf39ZYi1xJtTG6wfr7(gBG%G5BCC3m5!GzZnJRe- z8Ez%8Z^+7B$*v`7GSVVB!qv96U(vrHRJ3XA=8NL*{1XEuip}y7h8r%+HeFwhyhxn@ zphliKhKkRk#@_8hk)SD7)KXPDo7YDORO2Pd>S-G(iftt^OL4u1vofpYgfh&HCK0Jh zq4raalT3>>fr2H!Xr^N{hN4W1JApC_SWEki!P(yF6NrW3O2Cu=Ps(CsT@}ShC!lyy z(N&3>OoFX7kQa$!Y#?UTg%uM}1EYF_$wfG!s4aY+=_ zM!6YDtXzIXaxpC5hwbIcWnbc@D&!u+JOorBGWN(q>>xspM>hy4j@STt{v`@Q1SHHn zg<rduTly%hvgbS50a?JM25eg560A-U0D?8e;Wec?8r{g?>u($YzqS zd$1A$iX+y|mMEtaem0gyKyiK9{LXPJc;B#sDKVj#s7SzV!oaFaFxH9E7# z%Jhy%YN{*=&GbZL4F3wjt*oACi4szBzml;^sKvXp0s?AGSLMj1OBTHBLFeOFG%z|c zWr|>C#pYpP(BO<+Y8qdfkwnYN0i%orO^hhsMT&&Gn~5bh^Lc@)C9O^ZE>LJjRTs(0 zvqs8z^9d-Ku_j-(>@K>-$Y2!&6n$*;NNTZcHG)S4730%?0xFy}`iQ~>YHiZ645;pb z!$K_KC2d#Mhta~aupVMPSgu*IuFLXYL1kMwM;jDT6=`LgWOi2B9huvT-d0wqtD z(ULKasSB?}UX@d2V>pQ+#js&Cv6MiRlg)*cLPR~xJlNz{z*F-?DT1j4PLsgfHL${> zi%-!s?8d4IC>EHWBg>vm5`GH-LBzT$r-(9o_bW#ET%?r33JEBQ+%s1S|1=MJ2jwso zq-TS169LtNHA+c%cneC=nW?+gL{<%3WHXJRP5f|k6W=U_7}LXn=@C>+xlG24hH29% zBITUr?=Hnen2bpAOkE@>Fh!GPPGz)QjAzRUsD&S1<`s*l=?hUStj2@TtO_bRh@iiw zO*SA&9tJx_K=F#)xw6?8(Jg>RpprqvDq92;)?5UXmZlQ#Ka)nS1X%^2S;J`NN1C?8 z-c};!Lo3M6fx|+~1G0;VGf%L1#tQ1i+pxVb+gr6^YG zBjFbOEE41|4Ht_VWhra6u22&mL>`er8)GCXfl`Cg+wIqom7SFlP_w6ADd-wxDYp5P zBCSbQYYWW{d!Qs}AvK&_+N+}wX8FWGOCu?^3!CjTWU`gq{-u-=)yXKoShch&Y%vku zos6v3BR%h70dvSa!GR%#Z8zp5ptw?@(jK28>KJJrQ}xSfDh-*g%t=7?6|U#8e2esG z_Jo_~+RR8o6-1i1?m?Gh6=P8F;DkM!n$H@PurHy}9>tDQBdNs=UW}hP0)?oias^e* zmB^kt?$c9vEl}{kxdn)23#zR+PU3u0pne9M4Slg{R)*7NT}1~@mdasegy)A^O3fN! zp55|8{Xn;E_GLu`l=RRzSx$k4q?qd-s5ca|V+XBk!cAjCzXVT_32TCB$!em6HN?*3<@bas>Akv)vA;zb;QaK zHMt1|jA5Aslnj%nW_G;`c?2J|t0gy3=Ym9nHHsV5EH{&*r&_rBL`;Jb)j&m?M;6Si zME5VE$sJ{$aQ8!bh?Rw#*GQPC36s$7bVS5^`cYua#s6^ z)C#$dSEs)HP<0u|tHt;f+XIVHK5#MuYF(37D2L1z+I`YlF#%Jtoy(v2$U9`z;af8& zp^_*2QCm=sG+*k;gK4PqoQQ=ps3galx&*bGbeuRMG!O)o0NbP(<8XNnR~`2@OCee* zjifevppYdndkfbO3_3s5Ile6ug{XSj)p}?^F)Ggtmw6*?ZDnMflmDIxlqrgOJYCAM_ZMt zhmPwZkZd5?@R`(QcxeaV(z_RMY@BI*O9HX&yQPS%g5%k<37y zN%708j3!%@d2YiGHJREjl{L`{#5|2UPwwB*;w_$K5Ky95o?OF4SIHW05$ugeXP^;A zZ9oFy=G_o}S$w?{ecH)91LKdng2e4Chkz-lN%Mq+%hbYMSsejIVzYSRd-gtwbEJH% zj`Ex7Et!CsM7w-FSQ-Hpr$BA?Eu^EGJy{L`bK6Gk+2+$s?_?g>G}9CFkE7(Uo*=HM zW|C=G;Up>=Zl29DBMFlM$=K8pa1$evqpja=ESG?y4&~`ml%Oi%kp*e9ZN?FFjW7|W zCAoK)s7q_81Pb%#m&)-=GJJ-rhe8M_=`3*nFhto9N z3QVpjX=H3kB~!7eHbM811Hj8yaZy=5>7{#BX1GYnSYZs?de zW)uulA#&ky6Z5i>igB*THrapIKGZW4mdppDdD13(_r(2lduN1st+42VqM9jgdun3Z zVKTI0qY0?{N}PuUrtk>7V*KKi9uXK9SzN`MW`rWOs-3QXy6qvBsC;_5uRYmm;g!W< z+wA?L>hP9<^r^eVS8jvxaNR~8x82@VYuw1M+iw4JOQWZnxqQ-g%)21PuG=Dtu!>9= z;{u8xFpcyQSFCBKDGKMT7MP}Ez(lgqbU~@IC37AJre^~AbY$NNAor>&2$7mNM_x8s zL_kf)()IlG4tuIKYYdqs)02h52D#+YK60VnC6{>LN_+oAj3j!F13tnP;%FcDeF%Si zo4sdLH3b%pghyF&EA7dNO*9m}c95df85dl;EA4Mv5^*W7N=Uex6Y3R37?DmfF7BOK zX-`UAd_W{rLO}Ir=>gaJUH1IuSLCDc>>%vfVc2}Z_1PZ#g63D`fq^$(k-znT{Wi^! zoxPu4mPmyWAY5_Zb#I5eW;k5KJHwTc?V40$e;~ZyBr%Z5QcpIBFnX_tuWU=XqQoMc=&6W{R#VlFsy5*#Oc&FGRGQd*$ladkJ`Ma9lD|QS$#H9rKjGwph z&+6?q-o43wRjdEe)%^-A5;g1rJaHA4{WtXHWr8h1*+Iv-PC96%A_Hj$rNidG$zemOMl)WFI= zEd8o{4w!fj2*21|8oDaqTsm}uCW&z$fTyW8kO51<1cizyMEU}$K$`nKk|n<>iJs!EZ2`6^@l7PU*-C3 zn10cs72(m)2)zfr-u$Ns{rA1*HP)k><4Scr>=TMP;rt2Txb+R+tR3ty$!|d;-x8s3 z&{j9{$&va~n!AyojnuQcrd_UY7kAb{w^6qz^XN?Z&0mbxd+?$teL{RTd|1c0Q9UV4 zI38I2@e+S3TIX8EC4MeizeB@D@r^P1i=Agszz_tC#;Z99H}f*jjnQ30%P)xp*6Gph zMNziUcZWFd_l|(?s(|nP^xeKW{2_^-rm6IfNxb*_Bz<>`GrvPv{UyF9R!sD$t6tgq>nD;}3)A@{Yv zOR|%QRM;l__~P(H{a3s=UcaG-^u>o(Yj_DIn0?}A_~ z#e6n(xWwwB%nQwtcHffO?KG+ldY?s;UZ=mj{LVG3Kh zc*d)zeouJLLii12?uD+fUitt_Y(C|yBAThiWbA^cn!8!M_+5SU)EIdRP1R_>^pl6o zq+hx6OQSiiWaf+mGF&nFnK}^VmrX>-qy-069zq0=>E#@keg!_f5T^=6SsKYETagFP zN$I!J13x8MR(PbJZ>waV;cs21|1rktb0#h8vJ6)-0z67JY4wOH*Xw@>Eu9Fj!ioHu z>-FbjtLWhYRsNc_)w0R!eLNdYPd6T!GfP%oCPT(Jac;=uElr#Gvp4AVu?1w)uZmlO z6K|rw-NY+ZIZF1szUYf_s8z1yi~8yRiK(KqY^u`b8~Kp_dQZz_*9-mis< z+iOKrT>O6hK~U5&{Ky0PjmhPZE$BhjFjhq_kwvnFOy_Y1%3)#oWB9Pg^a1>}2Xz}o z8u+k&9bfRE-mkYA6OT?b$E4FmCC#_($o>Jy@iWi~iL^jNgU&pe=ay)gYpu(ue0`rnjb06*}s?$ol!@V6epOm$}Pv5)8vnknGfU!OXl z_Ed)!P0;^Qy^>OB|CsL0`@e~K3$k;4`zrGMPs{qtDiICiB8)^oPm+>N9!~`4>G4{tSOA5Y7+i zRzChY{Ur)evby7^Qss0k0{WP7Xy+B?+^(NYGLkd zZhJ|esd*;xH7}tJnkMmMFX>aYoGd=u{n}aXjSh=KBsx*i33n%E@x?Fe zU2RUn=k_En0|aE!ju1=VU>e?&%HnHZ*LAHViys2Kt3alNIfiD!OVtQZ$Df>&&G~_c z{zTh#a73Z);498oP%xzC$>J})qCZb{S@VjXrqyS2_Nt!j+ceK>Fg)M;s=iiL#u|qn_I%lE~yq8$yl7AZm#!F;O7F zp{$bgx8BwBqw-fGf_UCO@?AdRdwT*O^iMrqE4akJ{iohv!_w~LKlR(Rip#v$dmwMw zn_Tz3r*~=ZeX`g>gP8^>Zf<|)13lNGm96KUKhpmhUOfj)r#Gva>l*u!{$#A?Im)+w zu0O_q^|^k%_cHg8AnzGx9pj&TuK!-cg#?K3QJZ1&MA%fPR4v7=>SMLa#16}xs9AX?1j%eh7DhuxX zrXwcqS`0lB9flEt9E&FElPIMJCh3m_=V(|i`*1Wo6+MQpnWWzm)-+nqS3Yc#-Zjd% z5IiYMPtatz0sOC7`h%^at^7=uUQ7kZpRA9#$u||V$l#@-u{7BeBo>&cHys*3kfrzH zBc@>BqBeO`^k*qC3)gdlw+qS3f~NIJDp~VW6ET zMo6yyjwnX1zLengGxZaJ#i98WZ5F5hEd4J*lEVU+k}H^{FQa5$oh=JtN*P9;{@0+f zp!^=qXXNR}DAuSs`d1_x^q#Ai5?44eSN{vaC+2}Bf#2<(r`w6&U7V-q5{t{u*Hbj` z;Z^yV%iwRv^Y!@ya+XD-qc;{EF>D^k8lf0YW{Z!yRu zx}b0|W)sS}4QKPILiLN08DK=AeiMcJO`)De_)g0psGX$`DOYvpGnRNO(~Xxc(TDl= zlwXa+5O0>ZsLSx|y{utk`%v^IANP%{WBxa?@5%vN$XDL#nRCXJuOcCh1gmVXr4T() ziT9W4Us5IFiuAwH_a{Z7QZ7`=V)0g}-*Q=@+n4K8|BrQ{k;&~YZ+}@kgh2V4#sBR3 z-&Cig1N>f5T;D;s%%$H-#jkhidsTI;yzer-E5BL{?G$?RsTFcUeXs(=Np)0gdsag- z5XOd-8c{z7&-zyX$iI@2%|o!c!@@~GrVf(=@~>CQA!%0eot3h?Ff?_N7Yi{&yZOnB zf;M%;lm%JXzfvEpd9rvyiGDBDksQ8t zjea*TppP=~@w(QK!*4FtpV4xr%a9vNF$GJ-#{-&2c|N;V?_zQCPHXf*y!R)aW5cnE zrdQ)DXYkc)^&!1$XCU93C||H#3!CzlDhApW509m`gQC&G3a4@VI(;aX+tb(SHv(*4 zhgJ3u{Gs)FZ+_=`Jra*az^!)-&lv-8u@5U7!~gk%{;QDeYkPS95BmLK8P}jX#`=U0 zh&HxZXyesA{KJj9?)#k^@Lj%9|EHFHk>9aNcSK}eg{GtrE4jLl|7DYY zMQg<6C>45dt#pcOT!r2PXVY;}$<5ls4xv_i3ZyY-Heb0}|DX6GffxcGG{PW&qE-Cn zE&2-@-rtzA1#4BnVO#b8pk`OM>bKxU`RqKDYp*q~;<5Yn zJS}4lU$$T0r!_3#6A$Qpy0|AxRR#JtLpkXoo+l3K@vb8W&`etPSXcc)eST<6B`%A2 z19B^ZmY>PpFg29t)Y?+KB@0WC>yySE%6`uh+l>UdEv=x+bR9mn)?EO_LTk`t#Txvc1r(S z@R0A+>yD7pQTzFLkDkIGKZm7nMLoy_B9HUvcl)7k=KW6tRX>X7p3`69^H1x4(pHV) z&zvXi^(d~N(I249{&q(HDR^cmmW^kg#rT$GOXa)IA}->rJ+CM5(0cvJ;1T~frF>Ks zusBHBT*yb$qSl-@`z3$PysZsjT)EY*ZCCWwmguw#RVJ?PtSjf5o~>z>9)7cC^p7sQ z#y zuzjbD^zHNxW^%+WAvB@W(p`O`jGU0rOwzGt*YHiT#*13rYTh@_ctfjN$+P2(-dfcG zzBbNyLMuP#O6X)TORO84OdaCBu*4FnnB#W6*4bDds@09>wjRd2T3rPn-^2K`)-;vZ z^)MdP>Xz_9R)dA-%Bz-2_wdiGXoBiSKGSMkuhlQ&o2`bUYqRo<#3T!wz6M&v%r(5d z&3Hy@oW)twQVIwYcrh{Ir3 zbZs9$)XO+vExUs60jvQat|K1Q0BKi0LpkMT@Y zVmZA%FGSo(8|Ek`Dyu8yJqH_ued*6@gN?g2=L|l7uwf<Rzz6MYoI94>rzdnR{F{ zHye8_wv4sNyw1Qfp&j*e`+w4IKzEw?*=t=phZu&2y&BiW+l=|`V`g8VyzZs_4puXp zFS^rMY?;kpxXTzB36#SE6)3Bk&ClFr{1*NLnUQ&W7CNOrs|S!ZOq(n`3IU|Y+EzpG zCT8?&PPlfqYtV0v@ljgtSl5O}jgSsnR+($#AB;yrtmQ}(o!&?52K`#6j-vedN? zT{r#N7}Wl{siNepE*lJ_>MQx7-J9IbO1FQsXHGBPHU3#tN~@U4?|R-y(Q0z|>jZPB z^D)mG_utjLf8%P5e`I7Ns|IIQXvFn0s*1QSPWi6}#Sd~y8&B5w6 zar?{058Zd4ZRy+tMNdnmh&UGd}4gSzj)X92+#f*5j^}8<4zto)EHnX;4hCeY~0~Ap0}Lm z@4jbzpm|P-;6N?;z__2+zikZAn$8P9t?3-W`$Sv~C!Q$+pZB)$0N**$7!a9rW?Q?s zX|!+DjZgU4_y_;dX?&zrhy-OM{=jGy;-ztBA;$e1sXH5Z>A#VXCmjii{3_1)=5vPf zxsT_LG6q^sOE(o>tMYS;}D zjV{^>Hf5r%{_rnVBb3VQl7alq|H!=VYb}>l%v|w0?vH&W%M2I&r4t zJ;Unybes_vqGev<<0lyR(nj&_38+^gPHIjxinM|YuFy%wdm$F6%BC3aS$6ZYQ;dc2 z81r$HQlKj90R3JMI1!8A8x0)|oWK7bs-2B1C z=!J%@)l{4w{J>&korUw6g~oN@Nt+6dN!?rJIIa(tpgkh$eunJtV6{zq_^D*$kZZ^? zBQhkS7>fij?|Lj61oLia!uJ4{cLi$)!Ms(pqAPm@^VVRP|IP|yuvR#i&s$*}wQS=< zpR&HjS3PYF)oR4Y?R@Y`<1Wi~Lfp=mtTd7#+*htNp0j+<2bLH&TE2I^Tw**LtL4@3 zC7Xxk1pWD*&_AUDAbrg(r+B!{^LF zHZiPv0iR%Xbm4V7jF+{FlYHD>!^;0sY5aC*^-k1+uAQT1gPY2U9WHM<8wgK!S->|b zJoU0!59hAt_*rmMeCl%(pGWu*89!g)X#^-d&L2?#>PTczDg#9F$Br4d^e^%NqnfZ3 zZf_Ifax}W|ujCN$G$0Vu?cpX2 ziYZ>8pyP5!C0g`rA<%ILAir>S*+@-vUZNVYnh^*VFkC;|WhC_)c?S3>R(F~J$N);0 zMP3c$LGQi51E<)YusLo>Tt)|BLs>on8dgA=L*KUBfI(du;)Jld1e)_&a?-dVQ8qkV zP8UC*J$<8oy&EIEcrFl~*$lF(rba5K%PYJC8Y5gZLnEEcqV6Fx7>q0Pnrx9C4K#L@ zj?pT^5ZJS(Rv9l0t)=^7=s^XPw+3gxsb|8QJC#+^s3YSV;OiAW%m**aCh=+IGCm#@ zHU|Z3qN7H1?K9$MD!fn#%gHm8d8l&q3?>5PssPYmc@rqUiUjC28sG~R-fvV_078Jq~7xrjni(dn1F#4 zXdu%83h&6K#-owFn?g{&xrFu~+5B(&jDJ{g7Cp>i<26GZk^CCc{*&xL^R6Wd&U0DaVnSkPa9&)Su z?In{U22@GD)Y?ttI3U9dj^aGIlP5jxxIS^_N|Z5KC&e}xy zy+T+|Uc2Z=QP;F1d|a*3KV=>@I&MQF!HLerl+gEB`cX0po=nJn3Kh6mOPyISQqCy_ z-aDverW^KRv_e#q^^ncHJJCR@PA?bx;{yJ{R9iTm)P4QWgwwL0Qr&5K6s; zmjj&{wPnaLBenM#$V{l@0Rkj+HHvV^ePqQyZJYdi)(#``(P;rN1n zR5KPFmosGUDI+yy3*A-L$sE+U&X`C;F?p)_RI`+Hn5zyO_K2=fIGE3IRi2_!KN0-od5lpn0l`)~~*ZI3er`NuSM}deC{}mc#-{AWB;hh_0NUN2*esU>OGyl^$jG zks4~xLk8Zs4~UM;yKon)b}#j(MGnoCQVON|-A(R*Rr@0sjAvs?z663OHwHo_9^fSD zyq5fw5Ly2v-*dqj@F%(UVGHH)SBSE53c=P@5RHc<9@>etqFDt2db&+k zcY+NR*m0jDY|wf)wLViX@d|t;c}<}olDlikv57>7ln66_gcx;oHpnLiO&5fHE#YQ zphllL*A_Jz1BZ;-2n609HC13j|8<^b9?cPq-)sudLjP6I2Sz!rA5^$o#6Ckee2`+N zl)k;)Y0jB|mD}2W5V)L|FePh=0)()AM-i)eHVbXto=e7WdT&?^1dgo|ptHD@<& z=7p=85;9oHNM8CgG;uWuivysZFT5-(g8!z;xU+u&iMAwfq2o&7_HrO4l)4E|3w+F+ z`U+AJ+{sTi(Z0}++;+vdBSlgvlLkRPpv%xEOjD^MR^BuzO-#Ik{>ve4D=s=BKzs{$ zb8sEJVmvhHCyE%w)T6fopN=Ia+fM$}g<14Qz}<@A8Nsh39p8I#YI6ZCco}T?R|1NdLxp3Pk;{kH{PPw^QuhV4dXHg~38>x(RA%sq zKVi{?P1#|Rr8)>0&W6F{J6o-`&pi@yEa_pcVRomV}<;?EBuj{d#A zK8`$LY;vcn;1qNYm8?xacJ#HPSE9 z74`I}peyBtq^|lzD>)~JR_|!XokPcLMp2a*TeDC0Wi!99Fu9zPjaIMlzU*Q?^`$AC zLNDPR3u@Ua)hjZ7mc&!f#=$x@@^OoW$Js#WGTS@CQYO-}J&qlvWiu@r^62|#`cfRA zXuG5}+exF|fqhS)d6bAz^l1#JoKq-i&6ZJO$amza5~|^n%I%AB^nCu?Vj$xGi*<#% z-A2qlH$@svKSDiAj+ykMW=cKWa-&X=mun>`DemVZpt?1472Mm&gUXakUnl5Gt(FQ` z$?=z%IS#3IWa$I~B=Fcc$Gcj^dFsoad>%e{M6|?}~8`N%4a?I+M2OSEqH&JM@WX4QbZ-5adxvZ*V@ z#oiCdvH9MQK8S-E?UiFm#VSAi)CSoi0vIp0K8rg$-VCpU2WB)bC+{2Ycr5YwE_}u@ zHv!ehCJUJtkCt$sMrCmEIxUseSjCOQknVdIsQ!BT%4u<)7RgzSkgf)tdK+ke_Bre2ibxI4x}iL0#zCmuthHNMp2$eB`j zPEt)!(WJ!VL{2-fY61b{eH`J((K1+LqX;yU#%SGfM}KM2AdBRLpzWQVic(7%T;*9R z3Dvz_kmgDv@f}zpfq*dI9e$+ay>N`1|E4w<9dM`XC z($5q?re8wt9Qsk|R~%F6-_#9Nok%-k5o|bt09kZsH^=RXQZdK!X%XBk+K35ptefLC zEyqL3&Yt|;?hb5r3+b4Z)0&nTK*@T<@=7Y^n%2v=(RzY!kMfb5a>?z_y1A#jqt9LW zUX3N@Q1cET=1{XPGjMOPS!0>8kN>fUgRS{-B6jl8PZ9j>2jAwHMKv&d} zqnLhZR--E#$?dVl&BWaE;=TkPvMv`hVk|fDt2`wQ>l76rE zb-3^MKKlN9{C_`>$K`W9-|x@i{W%}5Yu9aikZT01P%QlhTOWYZb6(BKhs;@n9H8t@ zdNsA{=)U9P_AyjCDL^r)$2tRF#_P`U7F}zCb`esX)Lj_|+jEUaiM_ zmgnPm!t;5outTv?uTHkc`g(#Gqx>NWZB9DG;s*gsuw zR>nRSy}|$Sn)oQ+Wb=RaM>&hmSx*Vytc}%%wY&CAch0;rxwHR2j}KxqQ;RnISSz@R z_1=i*0B@T3xMLANJ-EVWtGu1zafk)V+1juey+wtYycxF7LUTT|JF4|a!zz#b&#Zak za*Q6^WbY9)G0iYq;|Dq9R+5KWg*ouS4dn_%c>n@r%vxnM)9Xy`ssn_42q$zQ0sp>&0j|D^V2-VqV}pFZDbLo6vB4uB0w1vTzM-Kp-Vl-RFCDi%$gx4bzjOf~$mDLj(m>r> zqn>B)k9XDm{5Yr!RzY6c^AS6~J+^g%)F%!TwN*9FPcGtbR(KTfEfBeb@Hx$06ZOs+ z+@h@VxWf0!L~TBrERMqp$=7x;tX#)yYU<$SJCx#*pUf*HJl*Df(1kOwB;2!&9X@Ti z$v1`kA9r2&&NyqWcRs9(F0hSfk|57IcF^)z?<{?_dioR9PM?ILl;;>bzSWEWtRZu> z-YBr(*Td9(oE}XbZ`G<9nfor1!WTB#Q8oacc$DmUbJO7YnjL#V<)rvdJS_Usd?(1W zx8&*T;ds4Sm?qW@M}}-C>xzI_aav7eIL)CQ(={|O51xc=8Ap% z-if`UF2cQ9+}BxepGnNzX^mjb>m%Y*dl6%Z=O8=&h)tVL@M|S_Z>8khC8laAKdUJ1 zna_^jTyx!V?f_B>_UvPa%dePk?mF=Milz29m~J1ihQy%_FrqHF3PptT0~DxqG{uDw z72(N^IVx^o4|kaC*HH4Wa_}db{9!$qDrCpmksrbR2^O#=nM@a%?C*MIAF-yUqy*IK zO>D%FrDAscSuzL99SPW06FqXYt33$ zCZ@~PEElWKjG6l>tdah1S?h4!b8pRx#77IQ^DTR14*ztD) zvuP9^#lR8dIeHu_rANNqSf5&A(mA=!8W_UGq~RMl(3OjAJ^p6TH>n(b3+C7z^R}%I z6M8u(%-n9pFD5l_iI-v**&gJqX1%axjU{Vry`0so$6Jz&Wn&&ls^U2w2*?Rw^ zhDk?G8YgWJa#pjBWUK^=ZM~e;ia$gN*ypsjZM}b1!y^tJ?CcVnxkH)M_*b{(thdPa zAZIn})X=+DTjQOlp<*jd3}v74P8pyBc=3rb^FsXUVpP&wL6d z*=5Ny5)T9OS!$ox?&SNDiz5tt_95@aCK%i@Ps2x$XYF}7N?W|sMAx73@B7fBa_%^t z6g|qn??aEu@@deaGJJpzb@Oj040kBsTmKjSK1%VYY9-M7_v?*Ators8+&M~5j>7A) zT2qX3(>JnBp5s_uJ9EK27X8QqZavGHZZO%y)SR*oO=kxqoNyPL&AUb~{S z^7;PXc6V9)jt9E#zr5Sx-{em`_rx^C8~7k=7}) z^Fuo3)Q-O@(;vhU?jrN)fSdL{h)TBpGQuOdM!{g)}K>&rt-Q<(NArmw`y;7 z0nbrx?)Ld}!5^^Jx8%a90yjd>a+Y$7Kgl=BdP{#A1!cW6;#tRQ2mc{}Y09%L_13+u z0m(y7&&uKf%J*y$Z6${Vcl`x|Z(D|enCA+mt66_zQ}!V}A#UwCgvUO^bnHVXzF)-u zIV0gY`_L)95#&IZ#`#B3SxKr<8#j!(>hd z46_$ynYLbx(<43BDnz_^e51VMb^%E>unz3u17qyX zZ>@@#<(_c@IkR8G$e}ZxaUwLho!+Y1KX}55A1BCR$3C0SD#ra@7Vv>j4$~Q?npS(x zgCP70z3?6W#=&}7rI=q}je=y~yW#F{7`?tm(>{?frSZ8PFjhQrJb`V*1XOJ1I9dtkbM8{&WC zZut)g80`7y6dZdkx`sus^MBmJck&JKfA)uM3x2S66{9;M`T3g=Z)wjP>?m`@$NU5^ z+_Q?ElJDWn@1&1TuwI(9a(o)+EozRfGZ-t!*Uk4q9*o?42+4$cve{A2T}pSxu=Ls# zDDYz*&hr?1aPu6T3^~7wkj)-0G2LTT2!6H7eARwTXT5cT_13awQvUI~E1?iFrv;xp zZdJmq&L{=zpwV+1JzXt@W&ArDr92DR@vrsGIpno22zr%g*D{_WcKr3XtBYQ@&FLJc zC!@pP&i=m~%dMjx&+;WamUoLhgy9mMZ67=SmD|Zj^mwtgGPg*+UCdoi;EG>$Y{7!= zqz_=F|K%|ZOa6V(|K1_-tCsws1?)oT)vo&R5bHf9&+S*grUsR;Tzk1g%_ zh8=$^GYj$gNAW1-B3}4}{(E*5{lQ03vGT=&%(Zt{tbDN`Z>?3ak9X5sL={<&>A(87 z$MoB~={4JAVJ5LgTjV~&2gtp@D0n&hn&S;k(mz~Zc#Wz)hWXZ-k34x9G~zM6Nn{o; zNP;|Xvg5BKGrI+kVF|QowVB=7?D*S^sW-aoqvi)Yk85{#*Bi%LbCu_(eHW=C0hX7+ z4#V<>vhdGIcK5V2^WLJTdg}elTH8=h(Oa~qr~X_W>(7im=lMW{*X#4R58hz1jaz=) zIvwcqI7;Sg-jD=(3fNJmnk|p(tzuurIfleS*&iLF0dv-HKbUM#h| z9_y7j%foHkgIsG_uVGpGnHMYrvg%D1IoWb4IR%VWLgX6e`1djC?ZM}WtAOl^7C zX?u`sE$dH+Eq#Hlmvg@FO)6fbUho}=maTIc)n3kXoE?5W=QiJ5M+Ht3_*!Y6EVZ}rg!Xi?d>@C6qB(5z>J z?=Jrq)fl43SgpKrMe79qthsL74;fu3FZsxu*u|>jHe= zxh?lE-^9K0Bdtn?1(VL_evz!V_+J*}!h-4lD{(^NCvxWw*XL>)es<5Xz@Yvqx}i;b zH8&$gno<5=%$RnbdZp^E`xNZ`)*fjvI_>lUMkhaDbXw!n@aW_Nj81;w(aEP-vzLBJ z#prYr5-gW)?xo(NVD7Z3bZL}6FER5ohPm$F<4Dn8ONt zZpN2qoC*){Y-U9j4uLT!!1Fm{B#`~`%V{*UEdB=lzOnivT0ssay@1kTQDf(xeet-GGtG@5YWn9G%qU+tgGZ*3$ZxQ}{GDeAf2H353D=R8` zK4r&#VqSoIu5i13vrjIOlV%itpJ-o>PqQShu|C``2?~E%uM@VGf9c9@3k5UD^Rj-g zhA;A@yo`3Ag18>pdQrflpX^G;UqUe}Lyr z#z00GfrY=XyJoV&9#-&6XVz%g^EG`?VAi#7Y4!ZF`G;XbJm2vTL-FsSAhr!G z%IE*M%nDq1?~dU(|7Uml3s-4-29Bx@6hc!8qq% z)&zLgnfklE`8t->tG2MW3Z9SI;r@qSWyS_?X5rPaUxz)-=~wprW|?97yIGjK^mV;n z05_d4-_X}aXQ5%B8h(n^1H(_c5gEePhqG@1J~6L1p#wAYx~S^hYcuo^Gt`}#dhY)W zRcwapugzRYjc4f}X!{n@$ys`G8(Vd)v8oB8NB`t`@)xJ>s>3KTyVFC z6SL7Xb3WmQTQX#)O#PwCR*NpF$ja1faL%@7>aTb+t~s+lKlocTm*e5D4Do}%+xRUm zi+ER?@w!92tM&WDYGI}?7*-2@z-qw{SS|QLcc3h%h2_HSIkaPrK0}C&b`4_0 zam9tVsP|m``O1?na>n7et@Z$hOq9&U+`_q7*=Psm(WH6$Vy);J>2K>p1G6x>qq)b@ zhPRQ+;(c`RZM~Xy@?*OAwqEa^Li6|Xczi?W!G|HarLYBP8}QO_zTUp=$pQ?4!QlsM zphXt(eJRgvcBXxa4qTSsnv_2M3wl_a$weueS(1fRBWYd|9tmiGNd1 z4#zjIk(>0tLzfpKLVWQLYZL0x9-mn0oTayko5sKS6U05-+DiC(_{~}P5TxD1*UX^} zSy)r-oCBG?&e(^0UOOo(lB4X!{u_S%V5`J{j zTBKn*MV_5`{R&58ciT+-7^V4#-#1uj_xsGbG-UxM9ei-=iv@ZLyDb*#PbLH1xWw6qEv4|YK%Se>aGAf!Qtrdy!(uQkt)_ho^*6Pw)%5r~SZwF4rX}xSB;9h5 z3g6M&RxX;xU+HaTb)$9&g^%>Q7wHqU;%T&Ok>0BEB=a-AB|ThUq&MTw7bd)`57RPU zrG@Y6`oG5X<-2+}+hgC{af|iD0RF)4+V}L7wpnYCkqVpL$NLl% z-s5*G*rzPn2vJm%{=6$5)q7>(ea(M)_f{xZN%%kTU;ZnUt0=q||F?I3iNR?WGjH8x zd_&cGeyPFnzvXWKv}8F-^Z36g3R!XGUljkaBIB>SlBR?eScDh+#VtLGMy$~5RnEG7 zx38QPsHMWY6{_KUF5?(}{lC5Q*y?y+{`XzUmW&;>#HTin|4DcL!+!;H40gO1|F?G@ zj~(wf|G6vnyK0FCXUBi`Bp&Qaj16>kCCdE3zbN$gp_n)M?huc?ug90i?^-$^!p0Xk zr@xO??9Jn}|9$>X5?qt^|XM}Ow%TLTW# zh7a_XaDMqfZyT_e8m-bB;};wUtkSb8@4Mz|h#yoc)xyQohc?W!e_w9-)%t@0-u3)J zVSEppqr_up{06HY5PsQ2Oa_~v^(QzF9~SpiveUJ?r1g!IkaPuA%F1mN5IpRCjWDuo|5-m_Wn5VsuPiPrF$Z%-!Q z1@c`6?%D=8GAt*dql7K`tbliNcWu$@1lE4%H|)Cu!dKRh_T=E6zdejcD7dqNt$Bw6 z^7MO}LBOHeOK2#sg^-1nwVb;>r9;c%72(AkKK$>Rq3iKG6<*EjDSVH5=jr#C#wVaX z84GAmo?iXYBK#1md0N7AL}Z6fk~VFBfB*Uz#wo_v>Cuva*| znc@Z9Sp~a%A!KIS*?ecFy@>D3bh7x)v?7!5g3Y?*yE1%to#P9r7g+TH7?XC%Qmv>YvPmc6=ihcT`r?n=&`+e#R~R!^-wC_uHe>6{{rr;^fS4Cn5CD&cV_8bXMaxV-8R8rdehij0M_buO={trbnt1b zCgItvNw}fh{jwHQrH}RemhWN&(ag1-=O4c3ABV=t+_c5`=JGKqPl4;XTo0Ih>;r1QPp?&;gY(%Pw&5EV)2MxV zvr1-+_LhbFG7Q=QuU?i1S^E?_RWn*A)f_7-bVA&~!tiC~@Owts`QkK`fAkTJfrp8c z?5(t8w=V|ISu=Xek_#L|W^iqMSenlR@Dpr^DEH}4^Z>0ak6dNYKHj5&pX#yN@u~Fs zr+WJ`0~_BrU{La)*S`K#$5k6wOzzj8*10y@(NO9pcm3R3PsX~-`9!-1#YR!fL9s7O zNs13@m2y|l?Uo!{G0YL=4jvu*N`Q|prN@3&#v$51A+}4Y{NFWv`?*Zqz}(@l#EuL0 zDgSvbHYzt@dTeW7XkrmP`&#Tfj;CBm%KG%V6<&`G@)7%vq(*PV4sv``tr@Xy%6}vF zDMykENi~0n)-z*QI4brWOBLRX{lF*r=*`&gePF6TofVr=QZjya?EDgv+!mR!hCc}e zt3|KPiM=R2)ykbeH@1CAPq_i}VxRPv>~os?6Q=B|!#zu@zlTPw=_R%M!Xue&S_k9UV_H#dfHHz>-r$-Q5O-6PjH8jp5U&abxo$m3GP1H zhGZ-1V+rm^t=wSxCBfZK8!?zR)`$0XjbOpzhVHUK1%o48y{LObcQ?A#5I@&l_j!C; z+x8Kb{KA0bLC?LIG%n+L$5(}+DFa808I;UYm#gS`>d^$g!y3CQYVC$l?Z!ws+jLD~ z+0vs2xLmh~SVq=NL;}5rat1pSpls=+w4@i-47Ez-U?SouHH^+Ax_fK=hnd2tF)2x- zxe%Tko0K**X~2l&ykTs~l>~PuI(;9q8!?=-*-uLy&X)8UTsSq?h`2kM~+QS zOCE_teV-tV5PB^IPaxE_Px;~60Nu8UyGfU4sbJ!Jn zADwCDu0ek_b62Za$b!u=BSyZMoQCj)spNjpJ-_mW)Ckw};1!z7GzLu11;-^-${ZEp zx(AB2aPNnEA(cGM-Q%_3G}_hN-6b(N%?j8K0TZEjxF5KN;Ndh^< z%asPVD%{&?bg_jyCS(n`lEslN-CMOr>GWkw_jc_{dhY8Fxeo?uJIB-054-y%)_6I> z^(Z|48ffKQasuQI{|3EoGF^PweJ!Trga}thFxNwMI1bkWa|w2wK!3M$r}X>;{+d-vEhMt?Asn|Wcr-Y5WU9{pj{9PWaG~N{ozs(F&_|~y z4IHi~rR&W@u0YRi{SI8#hv0JJ2%PM48G=iJIW0#YG}+}X;h{7o`!jWD@5T$Sxg{vxD z4UEGwUyh=|-1(dgRR_llt^tk}?7c@3)Kmi00?Rto!Q8@}1ZpcB1C~`*M+qMb7Hz=m zaw{GTu&kpvMPFCpdJ4xY;qO)S^-Jhc{|z9J7AJsZku@w409_s|8)0K`ZBZhLU|B-< zfo1$nz`iO#`mXyS5Jlo@s_+9~Sq06&GQtPJz6cRtb4A|*EDdf6b`11#Uw=plm|KBm zgsl~Q8?fwl9!1|);fEFf?ZCB>4%(2{9BkS{AT8_wmO1XIa3`=du(QHlz%sr^z_P@; zf~_{@wMM%~A*gLxWE#{B>=Y4~$YWrcV0VRkDE@nbWdHQU-tv^ZD;@?b{Ja&w=YI%TCNNad4^wzJSQ98=46g@q1k?kO{mAmi?Mpu4rZ{dS!7>46nE-)hFJP8!C=2Xl(47BSAPra`Jn-ztEcFY)(g0>@z&lEKW*L5wKfE>n zvp`1hE?Cwfv#i6#U|B-UvV`6PO9Po@{Mle@Jz&rOERbXW60lt1F!P?*>1Ip8vXL^& zMz{-8fh_(v^yyS?b>hJ9W%e$SkWM2h0Zg=6@DQ z3qJtMe$FfnTxCb#lnAp7zZxt{kXe@C8Zb)GKmW5p7U5dUgRjV$rG6b)8ptdST(5*@ zmf<&m>mhw#0xXcz?M5X6W*NaIMb9kto56BDz%1k60C$VT`fSQa6(EW)i| zS;x$>j&l_~v()E-y|M^dAd7GtSn8Rjemhti$Se)q0hYahS+}U>2Oy9oz$}aCGq5y}SsM7cqGy)+FTk<{m_-J> zt^x?80nE~XFTt|TnOloqa}dm@a855`ZYB7TqG$H&QU8Sy$R!oCTv8nd%N#I^9Jr2v zWd@jK2EJ0lGyB4G|33?X{zn|!TA!0d|v;g2bLW~u)MEUS>&sUma!KMsL3fLR*w ztr7vV6TxU0a6-{DOZ|6XSwhTq30d?1NhJbi8Nv7e!flYSQ|G6kmn$A-x#IZ&tPB6A z!Pd}h&;Ms2kOR$Gu(bFbSVm9;mPPs_SZ=@1gXQ%76Ihn;1&5va|Dq$X&jT(g{IkNv z3jd<;WrcrL_=?TG`Twfo;Tl-ZUcZ5530w!u9R3cLC3Zvc{|8u>&`ry~fBydyf|{a^ zZYlg1xR%i02FsD}Z?H_@j>7+dWtF+6uz&PFrx67}AakIBWq?4itiw`f1pe?r3YS*G zmr?Y=tmppk7*G}hnZppUG$@n<2><02|K-88g+2@{OSA$wM(D%AUYTG;2z2405?B^- zWrZWaGQmiNqrfu0DvG}96qLV=pc*_#i=!2;4vrB9)&R={?g7hgToWwgtEI3$1?4YG zptcf03|Jai2Q2-^f@6e1ZiNl7G%!x#x?Tum0`MPtp;RLWOfrj81 zVX(Im1k!-UN`OQ~e;+tTM9@Ux`@u5DO%;BiBzs-WAdm(;Ski;5IarSEEx>Zk*izw# zz;fVf1(t21HQ1jX>c0&HvdBGPnS-`U1P_B{k+uW-BgE5SMc+Z;j^G%l1d+b0lj5N> zSnh7SfaSpR2w3K*tHO^e;kzmPm|%1M?+$_N=RFke36|3_a~mfIaGARa?gg$S_z8u3 z``McRpY#jNqyG`}+je^8n_y zBEkV+nWKRU4^lW;;UQqTq8bJ^OVpYFM?fG~vCOT60nA+mr+}qFBNa|nc$C7U!Mw=z z&HpTTL?kd4EO)ES?S=j&uxymfGKb^9vI>}G6)<;_`~R0Akjrdlxr&_tmJ1GMdE&v` zMHn~{EaPLA@$tx;Am@J;$OxHbgpCXDJaf z%Ltiegqcc&%+kO)U}+$;jBgIl|1!e2ln9w+g!7aLnPr5`GQPLLaxXYv;Vf=svPiPP zGQkC4nFD5-AhS&H9k7g#S;n^rEaUUCKt{+cBU}uY5i-jN-vi4CnPq(0U|9l7O0w6r zw4}hbOyT7UuLR54@BM#ad0vpC=$ZX`)ISU4Wb=U%fY~3wVeQgi>Gs{CF=5}JB+6tC+o~MLo zmf@LY_-$bO#KoHbSs;&GnB`=&11uBV1(pWy2Fr)h%=iNd-^j|`S@1{T67xR`+A1Ep z3*H00oZUVK%LJKag8LNz%pMWnekZ&$|Fb|wz$`8P6fC5#g7%2WN6(R`orE|AWxW1es-y4};}`<%q@p{r^{rhocIAt?)60zft(O z!rv-hdQut?uixvJw;mZpD z3iir6xuSTus_-?1e^dCn!ha}yQ{g{({+9;aQat>n@NI?ffaM~USuRqU9~UJYFty~+ z955B--&*k?HyVKmAeZGqV7aO-{Vyz6xva-3*H^{Ny#)t*A&@0dR^bqZLlrKka0P|K z6|ShTw~`{LtZ*b)uJf5?KWCQxJPIsJh*_2hvnUa-t11L?e;*B&304Qo?KQJJu4k5W z2eX_zYJi>DZZwLX*}s56{nvy*T3kzEUE$ga$AEQFr_6G)VwNi+X5R{n`+pq>q=n3W z3oZS_A^|t_GC^jUAhS%6*_R;VF~ClG=KRkBnIQASBEh;~nIN-FupU?*R5HuS>Rzy{ z;`)BJ=Klr|I2LgoB`Dlb;YJEKRya}N`xI^hw$=~!{+|VM#d1Gb_WPy^KcH|kg&$P7 zxxy_RcIN+j##z-E#`}2g@R7mPMWfmQ^+Y?3IE+ z5XcfpR(P<&&nrAc;h_o-Q}~6c%KV?Aco?a0s=}ibPE&Za!ehX)k&of|zpbc(m*7FR zdS*G4jt9#UW0tL+SuWd|pA_-G3{I5H^M4y5V3t)dN#V%~Pf>WP!qXIfO<`|_B6wZl zHx!E7I7i_R6kZK>R#4{r z&jLB{tOd(nz$|+Kvs_SY0LvV2RCtrZoBeFf|6BY5^Zb7+SWdsp@-#dTEKf9;6Gas< zw-LMzEW7P?AKUXk3uHfLmi>4KSSG+M6W9foYe;6<>UV?Xbj{qF4Rq#z7Cb5}&Iii~ z_kv{+f2{C6g+EbvzrqK=+=zYiKMQ0lX7+azm+NzIJz*fToQ#;;3;h>hS%u893Yojg z`Tt7@oL0$ez(Zi!EejPs0+uE6mBL3A{#xN`MT{rivOBmS*6UfN_DU=!`%N_Aal$ta~uPfby!E?ScTmR8w$q>Hs}Ak zLcl|BJUCY5kU3G|HiGYkUJljFvQaY2M%e&tub`~?zaa#2=fW&^E{(vl4w>b2%`B(u z#)|($u!3Pl*zE3@mfR zEW2$Fur!ES8pPa5&i^cslS@yqtP*BfB~O55qw1~jlL|kj@YCQD=l^{a56>vvSK)qO z*#?-~h!SG%CipqU|A1+ze;L6bc#zBF!C={~nC1M=Ea!LT$3=qAgXK^>6fEbCq0>j+ten9RZe&GzBaTV3u>iNS^;?jz)rIj+kYRQWgKBz;g0pZYN4$ zG+5@CS!URq4uQ0oS$3JyU}*re%;8M1%n`FMN5~Mf z%+Q;P|5;$W(OUCA3)+b)$OOwAGs_$^%N#Syp?MBi8ptdSWVQ^n=l{7%0A?A0S?1_1 zuPmUGEFU|E9if;j`e z`F}A4vfnbxe#BQY8D`mL)_`T3SqE;+{ogTw z1+tN>2g@8V%N#I2A;ND^{BH!yk&{^->1+mjWsX@ObIdGryag;HWR?+rsOXucek)j( zKpxNkhRDG-c#ynZ;T;O^RCqVIl8Emkh4U5O!}GrkuvhW$vBLWl{zTzV!7_);?Sw&} zDf-X6iia;04_|_1H#-EDvtyychrx0g&MbQob7x_YmjzD>!4dF%3d=?3QRrm?%rXIH znZVaznSo;^+3R9~95}uKO9Pmt0Vlw+%Dz+hq{81Te5wSa{(mSTaJfz^d`9833KxOp z?8z)wQ0Eo>1JwP+ z|Cdv^yux7$hl6DsV3uv5vf@9&VQ2o2bOiSPKT6@MV7UZimgj=eU^%@q%N0@$u;~0hA3L6T? zDO^|KdJ4yzjQ;0LzxOI0>MPs;EcXQo3O7`^k;07?PGsi(?-+2O;^BU>Okmt0RN5j?GMABCS$xUa&`dKJNQV7abmmg{=v-l7Ty zDB%YxJV@bWg}s9n!4R;lbLRFU!QqPk5emPcaEijI;5PpFye<~V{dyX>wcmq_+1x7G zBV#&Pu7H^3qI3*6QG{m}3A&j5>7o9|LLhU@++IZR5?Dq!4lMU}%+lbO!Ez%qLE%>< zBYoFIDFAbukP%E$c(TG%6rQT^G=*OU*S9lh&i~Vefam|$6wXli4Y2GrGZdbw@S6(H z^0PJn&-M$<`9D+PxnQ}7WR`2jd5V5MSWZq^U^%xiTLY6l|1W?*?u3}-PKa6VgcgFO zLCn&iMPM1NH~WDU^#m-%h~fFSjKmV=l}ae1Q3+i>m3Hm&E^q>zf$<9!e1+VOyO@{ zMT^sg0pGxbT%{fdTXjwoSGW_jcHif&Tj4%Z#yk4^2v=zY{4aML{PExT$eDR`&@mpvLb$HOfT zegJML+_rG5z2NuL4AX6x2{>bUjDpKt4{lYs9-DjF?(??X3zrR>1D7LwA1)`n+jhT(%L!ky z-GAV+zsR$eVfEm0M%$jnXLC8CUJ!7?&%@<_6Kr>mEng0o6W9TlBRXu$i)_AS>nonK z{MCia@jL{V$ zd5rDOfXfLkw)LBA{={~V!{vB>vDx+Xk5*AsgUdN=1ed#GQ@GrS`lj_wnb0?FLf@2U z`i^eUfbm&gQaRnV@PgIqPr&6~;>bsTpWAbzyNd637t9~p&@No0#hctwiGE-I-<>}W z%ePU872(crav$XITQ|Eq`o6wiYKyyB$PYhTdA{~Db=cx=>f=(yG<}OZv8JPMSB&q! zBeKWGrKBglq?^ArFyZIiV_V#tg0u-g(;M5}y?rs`XFJ^9=dMM#1|dW{T)d{>FZ%O8 zNB#)zdBr>)-PMsLfw@z>EM&=Gu1&|!P}`5gFWCACBFuP~i)QR_KkBpShaK+Pl^hHF zE>+s;Zq@e5-y&R`@>{60C*e9wV4jE^UIb=K9L@%FdwBA<+_^j5l^PDa|Ee_zjl5~q zYXRIc@bG?2q*=e4;Bp~M`O6x#_S^C{(UImLb{NbNPJo`<(Rnbt#kRjc;Bo`~@n&vV zvAcQM#Js3T^XD5T){iuY+Znc-1((NwuWbDWTfVD4jsM*}J$^_7E5RYQfsIgCJp7Kc z`32~?LB7<0;%~TDCAPCO=J<0mPy|ESpOevMr6bK>Yk+~J|8Q>&d-Q1pN6&AvcqQ={e%9LNu=-S}F(wWdM((FQ3iJ9R}$HxXykMhR3 z#Q$a7g!WdkJBhyu=Ar$)_B1%m==PtPyRU;|eD0|*qiI0m(vFd4%U2ti)6&b`vVO0@ zX>b#3<#q@+&IdG~X&1BFV7j7XaKq@;+3G?=;Br@a#dZrzaNSu=@}AF(8o2=v8kHMs2bNIOFvHM}E}>S#jHX)1 zQW`tVNYvUcrB%a>1Z~JtIx)TZ|f22_(&^2f!9fTKc=1RJiV#H{9E2-v4Bc|L* zc;s^Hlr(yXnL9M4_i5NjBff0i_am)018GmHQA_LfKJ6Z97-c6w%qyR+RB@D1r~GnY zZN~0NX~~qt?ub0}mK zO8Wp*99vI+Y}a$BA5>b659p0ih^^BHw23+O13Ja-q7Nt}4LLgS0VSjvMsV>5R_ru; zxKTrkSViL@E#GXF)q@_!Zxs!I4K00Eb5ho((Tfp&B?HBX1n zn|34}My6Q`eGN@dH{wIvt+6uS*@+?*0*<1y6UDqWbPG|0&6-}p(7w>^SX{iEsim&BShvpx`oI>@}S~n zOV`n~XOt1GonA*BUW6T`)>8`HkoxPb#2&Tvz1Guq$irT;<&TX?A3bys7k{*ty`Dl| zLKPl>PDh+*8c9~^Ygg7&pO;YgRX5N?xaC`Juq^7CoSK|u=0fYgfj&oQEn@>+dkM9? zVFSgCGZMm1!>fVVdh#!TJx>3;WYn(U3g1{l*l?UtJIvJvLbvHNeN38F0;wD6#5lvC zD=!(rr60!+XIVo-H0k5erR$G3V#6*V6fY|u#}A05Ja1MLelU>6k4I5A+hpawSK3gs z1%&p8fJ?I1xKyXfP1{75$D@$f*s3S&HV{(i2vTTHx=~ZRzKQz1j54UPnWnsKBnG$H z9BHn7Y1Yd|Y`Jt04_}X`C#BKV30&dXn<-=hO5(s~YCZuS=;me`47Ysj7OTHoBVCdg z-Lm@@{2U&q(gkm&v5;s@w$f5|`){R#?9SXux7f|wN`@CBUD4J^^Z0=_PUev= zB9|t5jl}XTb0f_y!NVg*j7%|gR4@^PUXd4r-XbWu1!K^o{*#P*w0*ghFbRB-b+vZ0p)WkSkT-<29q!4R0ANAZ&} z1YUqxH^q~u7rdaIoXJ>Fld`qkdF>c88fC=ow~7GZU>E@ ziYCx(2Q8k8A+YZbD`xt2f^iR@R7~4Jm!T-P1_~~rhcTI%W(B&A?x0rF5a;b3Gy=mgoYlcHZmY{#ME*v!$8%M)|QE*b_^*}A(d3p&uo znaErBU9=tI(3c?QPSIg#3Qc|uHI%)JLZ+kNA8-_7QU<0ErF^eZ1C?`S7xja-Y>nMk zu+FsSRm9eAH?5m)#Dt81*c=`Q(}p(;orj;@bcX}%ghod?2*Bl6w41uThH?u2h{nP# z*W@F6piLP$#_kmTKcdfHgCQAE*`wglL1u=dwGAIpbOv*re*@=$1ItXDqmh9YDF6dWH0!p2jL>RcJi^C|3gSW}Qs&0mL?oA9DTj9vu)+);5%rIqVUkBgvxvKY zOxtImO}qpZXXf$rsnW*a2N~IvD<4xIsLEB{XIY8y&uL_B_R%V+ z$_}wrPgr%IxsPr^9GV9)XSp|qHEwz+mDBsE%bOUSf0muDNzgVuZ+>1sk7XB)LipJ$Y& zUYSOXpmGN=Py2*H^iHPndeC|ZH6oC z@)qo`|3#!Lfdb|kwdlZGMiXuF7j)+>V{h;i1yZBhYUo(JE%Agx7L=dq1% zL;iaK4R{;2>0fgEhu?;+tKP;4H1JD0__onpd+$pMnU69*@Fg{$4-P#@W7+L^kTyXc zlzNcE)h2|yH6P(NAEcTD{jUe94JjSm4)l$`qWSDT{}mm12k~cq#qoO< zA^zw^i2tjvsMR9qD;%Zqi=c0Il=Wv=zjqPVG%p{eQ;QJa`lD3yT_YywB=ptk@Vn6W zc^CdGf6Z+IT%B^>MR_NEO$XmKmWGEOvle=TlLn@bOna^|or#N$px5UZRRUejj?ucs z=+`5T(XquweDEUMFSW`>yUjmF@$bR13&*J2dq#Xv#5ZPBTxLX2mm5YDf`3D+*u#)- z=-_+E`n+%G4!b+Qp@eKSyX)W30Cwvhr}^ymJx-rzL!Wt^Zn3-nIK?l4d*e9uS%Qs~ z{w>XA_vvrxb9U!_OWIPn1>aKhr6`)v6EqfXaK{sNgB$s+;pUU3R0w#|^`t#N=7r>x zbUJ(py)yd*g)Ku%JPj>+?=mBbo?B)-sr;Am=45oraXsSak2{Nb^aYCEA^1?A4;q(3B6blmA?x{A(Fw^bNj%blh1>^3_~D_0o0$zxg(U0Q=alwU;gYvHcDRJc}ioq<#}4n?vV5JIlJ@D(+zg>&r{5LH00v* z)RW!tpJ>W@$lLrx>(;}}$v>Gba1+`@zy_mE&^B;w+PL1RLTxq}u|a?OdC~@C#-G)I4-rd!c4uKz;)wqie0<1$SI2e-Uz)fV-SkF84I95y0(@iXW$o!V;j z(~e%IR=F_#_GKEDi#E{pSK18@n(!;u&U7Zss60QYccioIR%ZiAPJ+l&rD{jXwmXdPnWFtQY#-eyz{ng(G#OBlW# zWt?}F61H<)U!{KAjc!`gYqV~=aV#k8H}o4y+K$NH+hIJb&Hs(=>@Z@=?u4AXatF#@ zZB!|H8psExo$2b!MwL+4b?Y>XclIr|8?`n4I?dXN=(}F0-8+r(+UM7)`7TsZ`QLfT z@z5?bnao`n-aG$JTX&%}(toG3yHFbYey66pjg->&-QXTg>k8aal)u|(r!Bcbr9Xnl zeK#oaBh=kLHzdjAN6qlCiUKf+Kc#;Chox)*z(Uv*S$1(4>JD69-~_6RB(M4?LLR$?qC7dF?T*T z%20*9#?#s#f6}nMm>VCyMVs~_XzDF4+Xf#SH7Mv~w7cE6DE?!VsrDC*{}>IT*jbmSoZ z@ow6)3_FL^+jI&_ZP9HC`NSAoq0-;h`003BQfSmd+-?4*O`o7d7yeD9_oJYW|4nV# zjkrS-_v8Glz(jmCd& z%nF{bMOkxQk3*OvRu`J3dO)LAU!YVQ1=8X#jNYYZ1x8`FLqN4LA3BoqeJPDK~b0@X ztG~ZA>Xgofptdb|=%DdM=I;Yc{n!#UC?<1=kFVGT(KhfFtPLpY1ZD0(#M&uhH>-IA$aTeFt?keR&MM zDd`&{F1UJyD06*4S6d;O?#FSU(%=w=AXg_S@Lq(=Qk?w8s1ZD=gvj)Zf~^sp2YF+k zSIBE<*DFxUabvr-DV+L!i(LaPHL7VBOlTTZqhge+5hZ<#h0@oDAn#R?4t{G~3hGda zi(<}681l~@{9r@{g;(K9Gr8eqa65;sVNrXj3SIsIjp9?tYtz*qjC-i(X$&i<Qpq4h=i*N#LG!>d%qW>(G2Va7cMtq%JQ`Hz zo+wvUOY`23n1lP?LkE9E+A|@qkF_oQRX=Z33(5!IOK+aX&iKjmMq@3!CcSaqm|l8e zP58qcbR1Jj!cQ31f@{&lpNwHzN-etd6LtbQwe03O=K@-Nrwc}=HcY1z7qE`a((Tqi z>LON$buJnWf=@zZ_B)8GQtCycyVkol?Y)R0Z*y&mxrCYNR&5$~3AJ*646S5$R1BTH zgjK`Z7>fBB?k_Pk;AhAi)S<=TfT2|TXGDMHXQO_=2iAR~VxzuxsSfokhQIh&T3C!B zVQ?&+D#m!67fbQKAf6ksH0&2-psCxoa&kg!71GZck->u?;up=x6mi?)XV+Pfn#~>3 zs?-QI7F;MqRwc2=V8ixUy9$bT@nvl5`a;Afx@3xK?nNCHUp5N0E^)N`S1cnZ#6{s? zV$ZK=%3K{7=Hn>giqShLv@ZAN##eA6@$+RKrJ{`r)ch*`GwX`m~w0VUi(mnw$hUM`O|@feTtZ(vB7e8Z>{ z+&qD2A|aor6(vybKd`%wXc*-(=t%ZRBkA(1Cv98D*W9+);Xl^UNk>Yx*5CsNd32!1q?y8MM;weO>ae__Wr_C7Qu zdiO6wr?R(=*4lUXQJ33BN>G<3YTbmD`M$64~H|2A3##oo{1 zO@C|uhQGo0(}}-v1otlFya?%?oHlL*@p4DYzn|joV5K;yY3}YjM$Lf4$qz)CuSZOU z3lm+h+3pOu{Fr#sE7s%UIba<4x(-kNFf49+fOq?q2-hB5hyLaI8nSP2eT(ZmT$lfU zUi>N^zlO)J;GM$TLpKoqPh9-c6Tfx$*A=`M#;@DmhVXA(cX07*b^pM1;n{KkE`E(p zgByq|3|AFg_uz`d)d*K2E`FQlL0tUC1HXXM30F5+!F8Z#6-I0REu=|P#Z@YPe!^1;3^n|Jrn%&OTyu0(@59#2O?dc z_m6bV#nlH_)^m}r{l{=M!C-48|gZP>myvjh%c{A zq}LVmM5L=Lt`WEv;kx}iHa9~eT^(?Z#q~@|q-!UPTM!-TYEeDX^-?V)j>x{k)fe{- zVem3s-+^1zham}(u3_-M>%mCZx45!eM7qu(V==7|7Vb=33m=Yj&1wsSJ9?3$PIzYk z7M+a8TO6GuUEOizc8hdP>=^0V3xitpj&%KmD-{tngTJV!BVB!Qe-;T|N0<*$DpzqW zLn&Q^>`i1K7XB^{jdU#?js%f`a9mfQJ2x`Ybp!4lT=%6Rt!ntM8!Q@xD?ByQ^~7it z6^bT*0?jHNw@`bj6~&i{Yg_3`t0?ntE?$EBJi1r7MtdHL!@{gg+&%1RYnizDl_s>d zlq>KaQ(1VL(3<)M#|?;%Z4+f4ReRvxZ^|uy69Z^Z<+x5%xF)U^eQ`bx>$`{I?m;O8 zc%sagxWeG_MmDQW6kTfJt{u_|0)87Os|~Fy8@F9M>Y-sFaa%RKdK42HcV2t$VG1c1 zm!cIuOykSNy`lAQN7)_XT1EG77v*{e;nv%hIlS4<#=9NkA`=~9L%j0AhXM|-$9Th2 zo5P!ZOuNg+b*SXXuVCEaY{Q7Cu(&=kC)-Dvf1}VJFG_B(I;+ks(9jJMQxGq|=jx@bOT+KQ~v%YnO29$DnuJBFhKlZ=Wj!`a8#%zdo^rzbI z#kHlf;c+!%G9d1cSa||xYaM<(!1~LEjE>YfJZ`C0wG;gk9@j0XuoJJ%_LPZhPu-JZ zYd%z}bCl~@gsX+D^J`u>mP^92J~XrGMW=BH*|b*8WMzNQWt7m zIW9qSJwi#9%xbXKb{Aq)_(w>O8nnnin{CZOR$2z-maF4d&>guUmuet7W zZLvAGE6rYv_72k%w1TemN@QH3x9g+UPSkM=;5ik69rqR7^CKmG2vwJ3M3h+w!*AYY z!{y1)@jD0i%>~cJJ%@GlD{;?l?2QJssTQ)-eXMV?@h-VMt(J~*SKdZzgM(4vHue=<8BEI%jVNXPNYt`-OMLY|tBAy}L z>2TG!#GYA-KYu({p~s_j-AMdnP9h(ovPS5uS&JfF4%9k>4k(#l?rjg1M_S z??JCui|f*S3^*M8DqJqt*>Gh=%|pCgK2ADWKBn@~2>%QGbE_PhGCDaeohvGR;(hm2kThG-5GHwq|;d0n+b>ZJHqxm)B z?how?0Y6b0*(-`##l_X2Yc=8~M=pYtM@h%c2d7cNJ#jka+!I$Nr0Ww_lSzAmKD#Hb z%iv5fXJt2B&N>e_qtlbplXY}*JB1?XxcKYq`a`WCcT9Elw#?Mva<$+!6ok{01`bS4 zO~+`WL(Ypzqc@GK8P~z<@4!~kOoX1Z=g6Jxnl?jz5EnNiw$JgCYYzf>c38*naoexM zr@%3|9!Jq#hhG$DD_?nbA2WIgtvx){$Gxu}eB)&`+7%a9 zMo&#j8=d@II>r+oI@`eONCfMPi<^3zCn-RWi|XN&RiT~K*LIX>D!teQM}I}pw-7p~ zn}k0lhi%IY`cjXp{qm8it^W^O_XFScy#N3I-C9g4lc`CZcbQ&qna)Z&sm1z(NeDx-ShZ@g zYHDQ|?+|u;!Vd8YVHiT3VHAcChGCpx7>Y229dgbP=XZO&U-##Fd4GTBa^7Co`}6sH z{dvFMuh;YS=l$9{vZgUM@)W_&DBMI}z;*}?XZt8!eia{>$o|cPykP@M&Iry(E@Ws6 zc@aaqM9!Y(ZyDr`m|RD;F4qm+blmV`>gW>=Yd|o0VOlsdJR6uA83D6(EjZM zIqzY-ZbNMQ(E93`!by5iKYWPSFCIB7=rIy!P?S$SWlZ!;hM7s_$ImRET@qb9bK$%> zOQJkG8>LMSZERh*Zqv;pi+P0CS$0w$MAo+am&9H^n1W!TgEw(dR|EfxA>Pm-^~}gN zUN?i`)F;kYW-j#T-7i=;JF$We^SoQ8r=A_0;JM)eWIMrIJZH($((*CUwd6FiE4Q7< zK9F#>QxBJOux(%mob4YJ^M<5!p6#C$^9IK=i-Kix?Jl9s*wA=(PL&(V58;APei&Pa z;l{rO+XmwD?MbNG!vqdzXq(DphSp8pOuJqD=l|fAQTrj@tts2* z_*V?`j!vnV>%TFKwY+Ms|MM{KeF!8~X2{Mj>0ql=dDG+XJS^Vy8&1#fD> z{3T25RA}L%;yKat=a-j6=NCtdiXz$6+b26=o`20>y#YN6$Qgdlwb_U5apJ<+bAq#i zG75A3L4CZj{vM;eH2=^XZ(vIOJpZH|E+dzh_`QdFXZ39>>6iFT)tA}sMl!{=693}i z-mH|9=KEWQdt>@8r~Wv~uiy&Np1oqcLq>Q<*!T-Zctd*FOd|f4Pral4PeypdClsE; zJp$T2$U3*RGbYOOV3aZWNY9=VXD;R8{phR<#%!a^K34mPBj*MOlAeTK=lUld>J1$b zqs-RoDN+8%Ffkv7o6qrYJk(p3!n5POM|vmNaK=b3hgX!Y-}^AHZ?}}y3;csdc|&`2 zF0k8_iHp74M$xMbTprmq(BXg>nJeHkjHa{uR00k0>bTZg{AEBs-%m6!jnHdbjuOzce_v z&SGdcKUH*{e}(Hjc@*e`wj1<_?I5WwtZ3_`$Vk5 ze>~57IHm4Kf6jRC-4Tym&@b_`AV09J+glIkVWIpJ_0dH3ODA||#q%!gm)Pt^vkFF2 z?($f&?K3XtlkF|Jd@R{ksmqhe*3Y^;m2CTr%h!_gLb;yI=Dt@~gBK_`Ez!U)oXF1Z zMm(fPa62gPLVxWbC1}-1QhceW^ zbXSk3{d#fVC+(8N&8fa=m;CwW6y+U9dnfeVMEN+zC+8pI@dW85e&sRVs1dHcyHDk^ zyHDk^yHCYGSJq3s=4YIrk4Hj>C~ z4DFoqQHHijzR8dyLgd}Q`;{kq(+8Y#b-%=K1ZDEJ22;K>`zNK-b=>luH^47z^fJaY z&~gDSUgTvfz~u&P{jkeVCgm{^fBe`36I0sL|K${K@08O2^V18wFDF#c?b#~a!poN3 z5WX7ADEkFnhz3}<_fE`Ng$3FWVn^46qm z%NcI}FY+M!Jq0(x777mHW^dHB&mr51yUPcW?W)mr)n#m3c9QDy z&Ex_0G1C`sJI#A5W#t|IGpBp|52(H_*cvh!&SQip_`vKl%e>D2`E)ijh6hcfk7c-Y znm3{6leSM_A1(6%&@C{Hud&Nx%S6$c{wO( zzvT>WKWB5?wEu1RN54b|%J_#*_lEX%?TcuCuz%jiY;#vnXG@{}>HOc*XTQPdZj& z)=MO~fl@kW;k@z^J6Tyxxpl&>zHDYWe`K&Q>RN1|-mWrS{oK--^Oi7TE9JIFxblN| z4;_@TZX?H!FPNAgJLq7Weq;xQ^cs?69x3GVw~G4U!}GV0hi>S~!A8{3)jr72lkI@d z^oP&zMvQaQ&F51HrW>?7f$W9m8z+wq<*O_Q$C}4ycmulL&m{UcW?|FcGJ_kV4EH&U ztDKG-|GaTO$fs&YPdERE$+nrf{7OynStHcRSk~GG_Bl!D*43`+r!}@YH`N4-ZZ35ArdI?9V^j8xwcq z*+&;1uTN6$pOmBI@UA$RY@MT<-Y~U4gfh3KHTl7=<+eu3ZO@2A?!Cc3sL12*b+7YJ zE%H7|X^@IXC;)%;d_x@Miy+nVj5L-|Y9E#jx#Wf5t3tOwa6Ff@`*8 zZt?G&MZ2*Y5XFJ%t z{5AFaCgp?e2;mx>&arz~sKJ9|K8UXRbxHXl@`zCVda_;lyXm!%!*8H1DQ_e1%lx}W z_%8(qgeLHN(g=IA+Q*0L2a|0lcQYJLwoN9S!}ZzJhu7dCbG^Od({2ws=u-;D zpIi_dKV=Hv4wX@6SCg*a3+mK$(+RGm-aY`==el;T-y9m{#Dg~02T#I{a+DkVE%JK| zIbfU^aUE$icKqoFF+v9woc46Rmp=6TcC>Q4fqk->cLck{34a|Q$--<{iC=@wxx-&D z&l|dHE@gu`#k+17@8*=%cLd)+r`H7EK(D599wYqe8)zEw4fK3}*nF?|;5D?g7IS#n zYIS)oJ}i;_8S}kiJxAUdJfOFx#=mjCHzZz4w$<+1&&PD5UHJlR+nKAs5Zn2^%NJqm z6J4&v;qfm{$`6ulyHoq!BYjz;e@PVhN1elE|G>L~Z^zsO`%rJ4Hw*mtbG%_gi>S9f z$JM`1J)1^X-b%I^ym!05Fo@x@nWfyVapw=mPU2SxZY=dK zi7%=RF4LFMCX1KLw(`RHO0un7S6_u~dvo~`Y#)Zp6-oI^a=87oWY%50YlIglu7?>Gy%)u2|ScE0n0}Q+uXy8ygerjyf4_67BWp+aF=acv2DraYj8A_ zufz662Hxj)EAy6(Xk|JjT8Wb5}^?^}O=nfGS*!S6p9jQC1waMtMZ z*Yt?{)A0QV{hP}_WItT@xCPIh4NSAV?wz-DSu7o|6TL_CMkcb99$kO@rNz*2HC=#w2V{Y*u%l8 zBl%+TfC4h-TU`?y$`K%#xc}s`;7$Cz%$qg6iFT)i+Ks1Oc)ZDE+kV}fyq0XAlFK)d z?YzL{N6CjK^7=>4_r`QfIkwLKuEM)8WmBC${{rvic-|xZ5*J#V>iR{_rJ-E{+YDW{ zD@XfyT|S+BP$=8x7HNj{iuKVMc##D(jN=9XX_PgJ9GJ3Y%_AX5nDg+ayxfNZuDmQCscZS zrZha}&#dHb(&+kriEV=XWTiK~XEk{;Ba!#J*t@=Oug8PaM*922%z8cUKYy_|w9jhF zcfR~zF7}S@vyHNyFaP*UD9d|->36;SJFRRLdFRXj`4SF7?N9jE#J&Cdtl7{nvh(FX z8K?ca_5C6{Uw-f9-k9Wer!J>mKI86u`S)Ad&S}|n{ok#ugLXS#{z;coHt9*Wg07c; z&!ybIXPLgZlp8k20q2Br2euB=)$hudJTjEi$kfNX8XQG|?Tc=N`lJya4@T&! zw_PLLzKT5|{07#Lhli$DM-IOs`$A^8Jxu3j_)$`WACo4)Uq$_ChF9`Qg(p~_>W_aY zJKvvbHz@mD>FpD*e5PNdoJAPRnATmnd;p)BEowO1)q%@HskftEINRB^%Xd+4-I&Xr zFTH(^;3tlI`rP4O zY+IenFSCHQCS10!#DnY~RYiBm8(UDty$Dyoh5GOUf7aDLSRp?qo~_ z<+D>pH^1&=_KNtQ3ha|`4R)b{9UxrZH7WNXhuil`%63H+uHTCsUVsd8j-3*^8CXGh z1iKF&UVzM`+%Kv9{z-Yyq-;m1@F#MhUWUyLivB>@Qi*Z2XD;3^J;HI&x#jq%YxwMqFpvVFv^zLadI zye=;wj}7JT$U|)ta1BnRHwdqRQu z@~W=v54wi0(cByPgL2!H>R<2|T*HGZu6!`(yunB2-*yeRpIrF?ln3pDO(BbHn+)Uc zcC9y}tKGn)c88J21np*A>rI~Erk9)4ZXEf*(EA*lRDU#C%k?$$OWF9czu$G7zV3M0 zKjS)X&y9Y?zv4Q+N-ucDzyCUJ_gB0U-08jM760e!xVzx;rdRw!s%^Yi{Q1?i8{HV( zL7vj+KVIz}-D3qgPZ!Sr@b-&0k{w(cbuM3u2Zi!VoE6H~V!JwX?bl)3a$Wu> zwrdHOH)7jzUH%FWvqO_BIF|16fKY?g>OPwq{r#@@E;)JotNkJislSPreNZmnf$f8G z`3Y>N!7kg$M7VthIcV>H$8=|HdM%jl1@tlf8PDZ3c8$TId@9*ifU7Si+pg*IgXDuk zc^&!SME2jffv*v2U-!Sef!jU}uLs9bcN@%&zlrwN*#@`I_^N_-Y{UQ0Z8LYH*A3kr zvE=F9$;h@o-SqDXa=fd+zKkCfYVZ*Gh)}Les;?*8igOcuJSndykEeb~;(^atq@gMJ zQbzagBE@rfx;Qo|5^14=wvnX^d6JLraI3OwIBWjGnTszt#8pRjP;aND?u#1kFP(a$ zH{^({H-a0FISjdSjf`fSb9#Bue1Ps+o| z_Te(!cUOC(=B#TDp1XGK?Nr}(XO}mV{}#&j=!x|^uHMc;?3BXg1ITvj;If@H4oG~_ z(~EBvqen!oQ)1@-xY-*z!Zo^zM&UPgHQ72xSAQQln2G=0&EDi5o8Gpky|^1W+rQ%$ zuh&^=?*tc4%NWO|V}GTwg0k*-!Ug4XCYH`zvSiMZST$w6D6_}K&t&NK=~~Kd3!cHN z0rQ@_>Y99iw_ClzQ(GywH|FLwfMudX?aHIbwgI^MwnY8-=6gSi?0!%G3S@^fU{-re*z2Kkh( zg4dE7G?T*%@D6!&XabhQ^`Cat`){q`c(|#>-~Bd@hn+3K-@goa*FXI>9u%4MZm_+b z^REBtZQf};o5~bzS{AQ+*!|l&Z zC4~!aPioMZG{LXBCg`uPp*wOj8cBC%yS>Zf$@Vd&z3-oWr}v-TUil!noIb$MyU3g6 zZ@i03>?t1xr*d{BV0((o9=35^J{9*1<OPhZ~E%&^t+WJLyzWmo~yXO*{*P>L z#$~&5w++Z;yU-5Trzh3hF((>oZ~5?0wy(9hYm!*@?qi&p8Xq$}iw! zTDQf|e!%0WeLoAjKD#MxQyRvh#~r42ZC_{Kwrz&;%m;a*ApP^; zw+V)S?iW7Dr47G?w){aJVkx8C&W$er+^>6(hem2X_uFmQ`nexn$JKlKR{bu*-TXot zPa97m4-SsL->>u1Q!2LJ^pH0y?iQz$>b;pcu-_~`{?Uo+IMO9N9{?W&e($l)bF+U!@& zc-)oYF3)(=Qy%^XDRsHm8brP_0Ow6uYGw9;^pzu3RD6 zU;H;WdasRW{pHUOVTUsvLd0eF5O(V?!9&<3zyA3lY?s|b*e<(=uw8Z!VY}=e!gkp` zgzd6>2;1eZhp=6NdkDMa*We*+SMDCdrabWwwkyAtH)TB}<)^*KhrRu`^^d;jE$fyt z?f2l902+S}ehFaOAHgpHO#9={4_mwZr(Xhah3*M!m)#TAF1shJnRw!t09?8IB>)y; z)GKV&E&mIiK<1g2XJ7GN9#hM%Z#~|NylkIx*?K&?=ymzYr2PLp_S?OnTYrDg0{({f zxL3W~`lhAyk7WMo<^TFBe@3yAvNeBt`Io%LpHZZD?;nZ&`4##ziW#qadz`U~>NzaN z5Z1Dtqq+P(xi>i)<+f95|HSbn%H4{XJe2a0&XniLlPI5Jwm*|8v_I9@rF&#rDnI$g z-@-Rik;O!(F+7{$dS14ETz(AOao_&u%C=xTO1SJEbauHP2Sn@VTy_sWhx2~a7tfvP zf7Imd7BA`1Kk>IM3le_`ckcX!#bcs9X;4YI_0&}inP#MH@tnEyOL-i2Of<5FGTV_| zUGj6Z8>qKV*wwq|VBB-Gt<>9TKlT3XH@rbTJ1MYtTGhk9_6=|Uc-Ag_vY`pgEG;XU zIcrXN*OR!^O`(llnY)=R;Wz$^=kPoj<<{4^@>yK)MrQ|Cyexa9iu&-vEts=l(c%lD zOPBCtLsq|bm;UiTHL$078yU&YDUObg>DeN`r=g9MPfuk3Ygd_@c{H(F=h#ESkRGUt~K0b@eZh z_YLLvD-;X~6>Z-NPA;0e~fL<3+KV1{0+7>>FU2q%3G82mZbbIGH>*ht_ieIa1bvy z!8eog&*W&R-u;1tYi~FF5;x#>^M}3T4Ib9iGw23fz1{F*h7tQM61UTI(uU&5FFpNB z-r;WnGIsBu*y!Cj_9$3hXr2qmwo|xz`zqBw?Qpj4$>mP!ZGQ-7yWVm67wSVRIUcd^ za>Em}9G>7$N%fY)_1`7cTMpNMPYy4T<(>0m_qM;M4lPl{{TZNJV)ti&F58#ey+iNl zJhF8wuHOBbq08>i3|(GLd%IXkWb0mTrC^XNP`2&ejbM*R*bWiSBSQIx@B}HpksMwF z*Co|glRb@p#5uqUtj}^YXi6I4Lvn7Y-X6iQ!_D&D{C8S7c$f9=A6lhcfp!lL-fnt1 zWIM*Wd?eWpye@Ah9}vo0$l(?88QE@~x%QuvLn~qBJYrc&)mQLT^=>f?Gv1J z*_Ll-1TODMwlxvXcB|Fp-Kn=7)MYz^I3SeWAAh>~Lz3!8kO$e9%C12^1$LSf&f`P5 zfO=aqu6{1rt`%LrJSndrho^UCQoY^c|BHR8>?UAOURsyovi*31txA{ehE856-%LI- zly4{7rr_G&O%AUi%eHg4`dV`4oKQiH6@>DgN!k8=$?!X>PO4v-l&|T^{vYr2o2?#4CvlpJ0mc9S_8s<-Ybyv_Za9G-za`x4%U{~!+zji1WS zx^t6a(d`ym_#LE?gEj77{ed^3YiAipxt$!k1uY}nPUUj&=W;vqL)6=eMR;@AwTGK_ zur}@QB8P>hu@5;K%F!PDVS(-HZYC3HV11p-$B^xG$>k|Y6F8P^3*qV~_3+O-JNQdi zenI*}4tTESLRyAD_y)2a=v@7C7Y@B)33G=r_=@Rnu|jD%O!zmw{JB8NZcoL#y$ zv^^5npdJPGyac<(uOD%j&b{*kD7OQe%M-}q8BZifL-nVT!ymeRfg0XoXOP3Y^x5Qa zFFTXWn)LtK=Iz}hZ}0y6gacQIv;FLky|gif zx`}-7SAOhWpE9`WY<=Cx#dOp%fN_dex2wDQdUiQkYb=F5U*y!~aHy`kMH^v^odJJJ8-3+@;6+2Rd2 z;{JVtOWHMkgVRCxwWm8xY^2_%YinjRrc>#Cf`B%AjL65l2i3*dDrDj47&@tJp5-_(7BPos#5+c6_`U;oF? zyoGUBZXJ+qhc3s+)|I+!7ZTPNxLivVR& zF`QjAyb%4!wlTYj4kp{D)u_Snv`df!wb2X9A3y3WEN`uty{eX-DYO*-#_uS z=3=I3os-Keu`Pwm|G?2uz5!dO@9J;HwhP;L1MVYkXWIM-<`%vkJ${_Gr~lEHUhkf> z{}Nmd?AU+(k6(JDy7yc%xPN2)bF5tH~;xY z?==6x@4Vd-f8?H$e!%)4zu}%-ygDnmm-L)11230f!Pa%S+=T7i*k!vyviBLzwwJs7 zJ|3Ku?dsaq{~I&E*+sH+ka1A|KmF21INNG<+1=y~XX{!r4)Xi`n_u3j zXF4a4-7jyr>~8wH>~8wH>~8wH>~8wH>~8wH>~8wHted_W5m(@D`jY(_6TQRtaux2b zuT|VX(HqxQaVv{$9g|g@{-SqAO5VZ#A3u5@ri_gFAO7T>obo6?Y`NV#q3@>b{)wMr zc$CJr18>UquiegXvt}RC|4+Zo>azQ7*6c(4o+65^ zqTLQZ`>*{IUm-+eb1F*s8K3ew#RrvA){9xV5Am4PV5@ccIX05N`ImNhllPj>UBg7D zSi?B>5sc~4^*H)2{vCZ{d-|{b*V|)4Bq!KmE@f0ZNVt3%*^X2$+XX>5+v)3JiF$uP zr#EEs2Bu@%vupnq_0dqat7$ulaP{`&v>l{e{*@fe-@m$(vnN-66n$lQyi>^G<(x^j z{l>LlkW_Csk?bh!>g}e{2x4WZ>=w%GVCUN0n-ZCxxOe6X?B1Gf*5L)&m^9Khvh@hI z)4TD$O=`10eeR)t*@fQ0qg<0w6j`_KvUTHqh;{&WWyet#ET8|$FW#u0l_UBmuBG@< z`~83Qh7M~WTi@W?FV{3@gvv^GPGi}x-mu9ThxSkOFK(RMy6S`N;h$t%4p;v)+1{SZ zFOcmR$ufM;GVHyQ>Die};(ywv-uE|-^Y-^2{-3wIf7)-}uzlUg`?1~H8`>u_eq(!E zWcL-TGW?l;@Uu$RG=7oi+MS|yM^4Q>GMCdFJAS#kU#SbPxF)rA^*QXk2hiM3ja}I= z%EBM?46ye zc!s@QeJ%9|`@?_p4)kyQ-5YkAD}S_WykO1$i)@D;S8q=>TfR6{ma(gwS1`^tdu?-m==v-R-)i51v7+FwdeiH`9f=@y%iGHs0ibGO)tlr>}g zgHmGqr!al+B z?y;j&>c{%0b|>!`>tE14HY6pQ>)+Wu)-NSL*MHJ-QLewGdu(V*Rj%JVH8vonKG#1e zmG;fK{_&~IZ+ou4AeD9*ZJd8WkJzY`=n?)SJ(&KqBm8%J#D=9*9^v=cB{n@}-4XuG zU1I!b)sg-iyTqLbV^`+Ov+I}IFqY@vziVvrjH!A36JMvCQZ{p8ESqn}$n2w$ zI~mg9GQVJ3n7U}meEZ?h*rGAf>}aX|XZDyVPgl~;j-rhk?+pzTJev#q4g-kGZ#hHa*8mglkP>%9HTe+_MW6JGqwN3Dc?;cwg-^_GN$QSUky~E{uv3+e7&bD{C`~bEKif}$W zlzRtzQ&)X6b#@$b?JaYj67MQ_j{;k-u7PD5bY)xcK}k73l)u5TP~L{^7~`h*1Gb*f z4}Xa=)Z(7ti7MZ~2%| z`@eS8`^WZ)Jr(afI_QQEr`+b_^4z36kHettKd!!rc_E$%Cg6gt83?FXqeuvQ2 z>vssdGlGA`9fKOx(PDFWOvD~oh+I9E#oA-?Ek&-dl-?FFmsFV9A&R58X^o2k;``{<@IDuGoF~K-6*#`)Q!-vvq7TXZj{@VfvbO$91Uf=?;M_?T{(nvPd1!z z-lZ$YgLiFr_`(hBPawlNN)Faq&^|k<-b>0ykb_SlXm6J+;e4peajo_-u0VMuc}VCT zUXzrsP0CA>a(Pm=F9O5U+d#H8KG>~N`>H;?itYL)$o`DIW3zhpI-!5!2Zq^hUM25Y zK|U$8fZP1$y<;c%SNDtc?zy$A&cCx?Z2F#4PYf=5-MoGb+D`9J+j{DP>G#}l_IYE^MO6>DW%|Lf!vah*IB*UR>qZIDmK4e}{?BW4DP?Ws}a_AxZc zr{U()*fs6lpU$gA6}Dlt%4gs-r1j@!Fm%qv?JOK=Xh z8IFWdRn6!}6tRel^7V4MC%`}_-4@jL}Z@(Z|Fei4_* zn{cW85-!6wp_h48sQeXNDL3Nf@~e1-{2H!GnDzfUVWldXaJBpfUM0VYSIckVHL{)M z)yQw-TKOHkR{j@WC%51_`CVKeSFp3e4RR}PkUzj1D`6JvYx8Ww)&hVP$PjHL; zDQ=ZF<2E_Ig|J!SGrU#)9Jk9`@izGj+<|Sif61#;oo#^Ic%`f2JDeeZk2B>T@Ibi(N9BLxEcr(qlYhe5@^+jf|BOe<|FI_rMk{nu zkt_d#^W6X-FYmxp7V$7^JJvZO}t zi)&@OOR-kAKYv~)?~UtZdv3Kpa6EAvWP>W~7D$7<58fybz?3x?uU z`CyzTXIuZ_g2Fi!xs5}y9$%o;XJPK#af5SPlUFnU)iT>X% z^G2&;EY6j4ah^O5Pm=92FJC?qPm%NRRCzouknOT@nrxShh4Q3s?0-cHM^RBMAB{`o zV{oaQkIUrAxLiICSIARvrF=YIF5C6P3fZnNsuE`Zn@U)zij#1)d@^1opMqD*_6XA& z`BYpZpN4DY)A3q)8eS)#f$QYyxIV6MCSikIh#TY?c%yt4-Xx!m8|5P0B+tan@+{mU z&&I8CF>aINa|oLi=HjjLJlrmq;BE4J+##QXx69|^PPr8CkQd;{^k6Soh*RZ7IBmN6 z|1v@^Rh);@<;6HdUV<~_ay(F8ilg!}oF$)+V{!$~mM_3L@`cv_j#RjaiqUc<&Xq65 zdGaNAl5D$9KDHy_ay(V#m*N8XGCWPb92d$faFN;i|0@W^s<;xD$XDS~xeAxb_RL$k zd^N6+{|{HnEAevqTD(HO4p+(5IKEQhAB1Z8db~=$0k4)<;WhG&xJJGS*UCO#E3d}u zj<1Ca=RC@4AZoo158JsOYhjZjjcqF#l%aNA|xdijec#`}Io+3Bm0{K;3 zD8Gh_<=1hk+=R>JH*h6p5P6gTESKN1{(pr+GZj_x+jyn?4z8B}g;&Wfc(wd4UL(JU zYvlKFt=x*&${*l$3A6uwNT^fAN4Q>Y!yDv}afAE`-Y9>HH_4lEqr3$-$)Dk7`E%SN zZ^f-~g)azg@|SqC{1x6Rx8rvCYrIYV26xEc;_dP_+$n#DcgWx4NMZ1C#D5^9D*PL# zVcQOV#Od-+I8)w^qiX*%&XWIwWAcA-w%mzx2J*zpg~y6_?8P_-L8D8!ngaeqV*W zJFb*_;pK8~yh84StK>cKO0)I<>4a)k?1@*&eer79?tZV4?Y?1+yf?0u?T+|b*?vxK zooqj)Rwvs%$a;AIj&D%dm(U>FqlX)1`(e*bvi;skqil~OHpx-kEc5+yq(wdex5`<# zO}5`++Z;F^;d{2oR#n&?&UV@E-*1!cFBUrFp?JG|Fz%G?Zs`vB5FD8iY~g%y9!Zt$ zJAgDf2ltwx{(m?jT^07@f*G>iLd}%z?&&~zERM>#I7_xC`eL%(p3Rnz#5r;v9x0Ev z{&%#(1e_~R#Ch^0JV~~Dy!rCcc#3=so+{_#0@;3oW}3{m*O5Z`I9!D7_!F5zC|1Ss zxI{hym&zyNGI=U4mrueK^2xYTJ_RqA3-Aj0G+ZU~!w&JtN`*71sFu&ftK>qwS}wwC zp-JLLbv+vSzGQ@$SWkZ;40vx2?gcAP37 z)t$WnGl;~?_-}?P&cm6qJ+?VeUV@|YGMpu!k7M#hI9sm7Ir7DLq#Vbi2o+@953*>*`Y4Y{B5Zg+)0T-)$6)ur)?9S(3szx_aQLYLf zSIDbzC1w!0ng3MDx8POSW^gNBqxNfXjmqumrnM@+9j}w`z;$Np|7!^K8sSddAm5EQ z$^5!bq{&90{XMu@z8ANs&3(8{>nRQXYyhHXtehSODEk27TZxywwoc>+g+@_594=Q2x;HsBa$5V7C894SAA z^RUgp9tg`3)m6fUDMO-0o!ppI( z_LuMq`DI+CHm~4nl{ey5vi-vHYPER{*Qoq;Tq`%(F0oeO4ZKc%6W7Ua;d;3lZ@{)D z-o_g-gUGx5r%C1S;THLQ+=lH9*dLK?mOsE-aiagXUtVfg#YcFX+=e^kkMVZ-6Wl3( zig(DHail1i*%q8Ce}>cK&v7q#tNmnDy22M!WXNCQO!+H3P;SRj`D>gde}iN4w>Vqg zhI8ca@JRW4JUU_azaI#>s_4LZ*jDeqalZT`o~kzX>~Vp-9Z$myB0uw=VzvJ-E>)XO zTqgg5%jI8jMO@)GLZ$pWUM~NESIGavRdOVi6G*umu9j2qD!DseEvMo&at~Z1$9Eyr zD(s5a%4v9=yc@2Qd*XU|cf3LFg&XAFc%$40Z<6=GjdD6}DpLQyC!tvteQ^u6k6=1LN3LX@&deEUWix7i*QxK?0;p1m8v)oSIdj>DtQTB zEtlgp@={zQFT=I+`FO2df!E0w;5zw2Tpw4sh_FGf#0~Prc%ys?-Xz<0(;P4cC< zS-u*#$p431<(0Tij$cFAtZ*&fDqn}&?aE5#<&Xm{Sf%0uQD&LN?T0BL*A5WDZzyB5`6awjei?6)U%`!XBW{vk#m(|-xJ7;)x5`bp zZMORVHwc?m@h09XzlGc7X1q;)8+XX>;O+9iaHrgYcgXMJNO7>FRjTO3E9GBswfrkyCI5z3%RBHI`FC6+|AA}e|KYWAqz8L} z+zr>o6;cTGa(BEzPQ?v!54=&{1#gn=HwYSK`z84%c{kiF_rxvo?zmNs_ad|@^v0Xz zK6tCV2X2?s@iuu++#&bH+vUA*r<{Rz$a~|+oM12Lhg0XM|L;#oQ-%G4LND2VJt18l zfHUNMai%;F50v-AQF(uyCI1D-&Ttah_~H8#hVz zaK0SFQ{;p2RN4MDodWq_Jk4zVe>R~|74~PLMY8?XSh4(9Tp|z0rSb?|CLfB+<&n5T zJ`7jNqwsS1Z#cd}VKkviJ{+%<$KYytEM6t&;??pvyhc6(*T_fWS~(A|mB-_Cf#Z=0 zggRAB#P#wdyg@z+H^@ihjk5h%#wIx*H_G-S8BOwJ+$JOzIjUHIN6HKFXn7INmCJCRd>)=8FUI-u z5exJtepuasBdYWWJhO1=`WmTS`43*<*=-;X!S58zF*{o~e+@;clkKZKiQ`v({@DsIQIR9Rf=9}4;L&n3&XwQBdGb4WlKd~6 zFSp<+^1FDd{2neaTmNtWKKL|MwBkbf16(A3h>PWqaEaW8OXZJonQZ^Yc)9#3u8=q5 zN_h*8FIV`CutNSESIJxPO8E<1Eq{qu$zS2saywole~oM8Z*Z;rEnXWq9@$1%r;6`z zo%}tnmw&(;u{F*5RTzQ|Nk%{TNVGrIdUByDL;Zo%a7t*`7xX)*W*d@<2YY_0#A|G z0Fu8?2Al?k)|y+~ND zicNTh{1UE`U&brtS8%o5h*!z4;??qNc#Zrzu92H?t^5XF8&`Ofuugsp*U8PeUVa;I zkl(=#^1tv#xdm^M-^Gpcd$>t{A2-YKRzi!y2e?)K5Vy%6;mvXz-YS2L+vQL2Hu+QB zA#cXpPM3%24^`3syTe~Ej^U*UAQ9cRd2<4pM*JW&1?N9Aoe zOa5*zKL41)_f%xdKj0j>1CNydjYrEr;#~PBoF{L`ljNUqzTAnY$iLvJX6yfdB^0RQ zH#|+=feYo|agn^7zo{$6c5C%gTq<9N%jL^)rMv>Kz;-wM3S2E;iQ{Y3=qf_3T!q)k z)wmwp7l{AB8|3S8gM0(tD6hhs+w{a z=>Inm3RLkVo+dwq3*~2Uk^C$!mY>5V@&){TcBy`)ktBj*Nt!2vi`&cbQ(fw-4E1gFa$&X8j`Q$7d}l!xM|d@#;B zSN(rBA*PB$aJD=Q=g5D>Bjp@CS{{ybFg!&bwLhQ#RE57$Q6P`T z)8xZ(p*#i`$zyS`oQq53akx}I0+-20;&M3;SD3B;A5W-M#RR-uo`_e-lW>)M6kaJG zjjQEj@G3bUua=L+YvjqeMm`S5YZayt*2>4@b@BO_^T!m}o zzvEi@YP>dK_P_rltW(8GTqj?H>*Z_l2KhSNAXnp!@;~q<`Fh+a-+-IsRk&Hc5x2w@ zZY8v0+Zb=hn^j(ex5{_ocKI&6O}-m<$hCO8d=KuF@5MXh`*37Iuz|gfJ$7%8d zxR?AOPM6o=4EZ6PDL;${%KyYsxejN^kKma6D9&D>{{JyTjw>;I=IJWEBP{2VTlH{xRXd0ZmDfJ@~Uahbdcm&-5V z3i)MRDZhf3o2~zEB&<-ytGG&j4X>16$JKHZUM0VQSIckWHS$}yMsCKn^4oZ={0@$< zQ}`F5PHw^V^1FD0{2p$Q-^Ux}R=i3605{4X;wJec+$^`@mca4I$Anf@e1hBLPw{4X zGu|q1!R_*Ac$@q=?vS_Q?eZ76Q~na~kiWu_g*yLlC!{V6c8RZXn*0s!C4Y<4&4!;4JyyI41v?=>HXdq9RA$jz`Kr23DZ>Z2QHNVhl^zUF|1;_8!nMkaH-rKm&vKPT<(D@-wc!0|{ap+yx7aI3r!x5J@)8$H>AzzF$<>h#wd?}8~m*Fh=@;D);umWex zSKu7^N<31&3XhhnaIXA!oF`w6C&~YZ^W~LzihK>8iWB|+wS)pyT!*L0)wodp2QHGY z$HnpuxI|usOXVAJnS2v2mwj9zueScbQsHJQmdm%`74ogPN?wCk%D3Ta`F6ZYz5}n8 zYw#NRPFy43g=-UzMmm6@W9DkOugJ3s@U&LwW z1$#jw?j^s9)8*H2hWt9tl$-ECxfw_0w{e!-ievHzIQu;H{~r=^RPhlWDR0K3HO`m6!BgaK@l<&mE|7n+{(qW6Cl!VAFStnl6&K6D;SzZVE|rH2Vr$2C z`8E_+$Oq%)@(5g|_9x(#<~So15vo-&6R(nI;nnhNyhbj@HS!!>E6>Gi<#~9WT!QQ5 z`M6#_2gf%koJ(ksOYuf|0p27p#EtSI+$5LbX8AnaA}_|R@)F!8m*dTW_D6qUMIKVI{9NkU2tScuovu#Q{^_Rf#QE|*c#1p#PnGw@1@b^VP2LX| z%KK;Y`4=htg^FT1ic91{xKtjD%j5%axtxV7f@5&1oR8DW)&Cz$=%tFuI9)ytXUJ1=?Q{?G*s(dCckPGoNc?K@TiT?jALXj%Y#>H|G zE|F*AQh63GlV{^{xfoZ-b8w|R7cZCR;T3X8HvNB;=_0RgV9I zkfv}w?j_%V)8$n-L%tDb$~WPGvX7(kYMdqCjAQaGI9t9I=PXtKzlJbU6}REh^6fZR zz60mUHF%PIC(f7e!c*kC@l?4M7s&VEY4W|+{}(FUM@5mm78lF+;}ZD+Tq-|^%j9*q zTz&{w$PeR6`JZ^XT!&Yft^a?7P^F4T@k;qITrJn*Rr2F_wfqELBd^Cb@&;ThKZ)1M zPvLd)(>PwI&_Jk{pTQgCXK{o49Ns8z#GB;jaijbKZjxWb&GIJPBEN)N1IHsT6WUbq z3f?R?;;r(lxLtk?Z#Y5Z22SWSaKBF@JRV%JX-z)=gObrJb5#oByYj_ z@@II8{5hT~e~Sy`ZFm|^^#9)x3RUqvE|P!5#qv+MM7H1ZFO|FDGC2j8%iVE>oQf;u z9(cLD%OUjtD-?F6qDoG~E9KpAwcHc0l6S|eS32VN_u<8=wM|LsYr zQ$=4~FYkpn$Qign-WzX}`{7M;f7~c%;wE_?+$;~kE%Ls&HLfs_&?fJPH_Q9ut@2-R zyBx*a#7Z>;>|8oGwqm8S+G&DNn)! z<)d&^J{o7q$KaTpkF(EL|9>nYM-`LtNNl%Kj>Ea~6g)|7j>q}(33!TpBAzNw#Rc+7 zc$$1NE|gEXm(RaQp@52F`BYpYpN322({Y(R4VTMj;0k#t?5*)8rm`~UspMx9ZbMZ#G z^#3dFZNRje_CN036pa)U$uu*VUer|goslpS8Wn>uDj6h`CMJ3rl}yAvy#Oa(9;*4{=JfGjazrS?)U#@em^ZcLx{-gPX*2;UC5G;Gi_@?epOl;@jcmQjPx=nAXa;15ObyfZK`hgj2-};SBL2 zI8(eB?jnxES>j4KB3=S#i|>kI`p7JWbHtqJ;XH9yc(Aw|oG;FT zhl#tx1>zp?2sknR_rw$`BLWwRqj0gf7d%dU6kHHOHAf$%vSL^@HX+e@OE)N zyhD5*yi+^`-X$IiH;ISAKZ?(XgK6PiFdS|nj$MFBmMMT+i!X#z#23Ns#23S<;t_C$ z_!2l%JQD6Az7)<97s3(oC^&nX#{bJOeUvd8&Jh>E{lsJ7{^HBwf#NIRJaI8RSUeWa z7heev6JG@vh{rw5^&cTKo{U2A1h_~%5iS-_gU5-lgGVZh^ye8;``uT;``wy@dNOW;^lB~U3eEf2)DRSZcZ#2fcZpwso5US|;Vuw&f`jQA|2tz^Ob>5~E^xB=NVv7Q zE1V+k2DcMu!KvczaE7=CoGI=JcM(V6EO9i3iOBSVv&BcjeZ<*tj`(P}pSU;NUwjNa zP}~R36CVo?79R)ai;stg!HMxd2UDPo6W|fzzHp&97cLT?3Kxq9z~jUN;S%v6c#8Nm zxKw;PJYAgk3*%o$|N7M}^1i_e1RiU-3L;A zaSM2hcprGHcwcy%xFx(@oDA;}?+5P`w}N+x_lKLrt>GWVu{N0ChOp-!0Jjhy2q%kE z;MU@{aEkaKxSjZ5I91#Z&JZ60XNudyUBrjNSvP3>PsK!((E-jDr@?*1>2QuX1MVk2 z4DK&J93Ch>0?rd>!h^*f;e2tY7WDsNGM&jN5O;w`h>wH|#a-bdaW}YFoCS{)cZW;F zJ>V(go^Yu+0#7%NF$hI5WyeR&J(YP2a8{X^Wnt!|2xbuWo&>8#2eue;+Nn;@yl?L`1f$JxE>xS zeg!TO{{fyN{v%u}-qeErKV9ZkGRnlS!L!A$!{y=zc&_*jxI+9UyioiWTq$mZmx&l;t%1q;*a1u@m6@9_+xmz_!D@e_*1xEybazY{tRx2 z$$XC4EdBy+6mN&Oh`)rliob%liT@057w>>~i2nlb6#o_8CH@=SB#!OG{3!D^9O#bt z25uq#7ETuLf?JEfgHy!c!|lX>hf~E(aEAB?I8*!&xJyjq|35KV$|%`~yFh$3oGqRN z_YqHqbHr2Ne&TE3{^F_dK=HM3p12eqES|Ox{XbvkIx>cdr^Ehl1Kt3SQ2LEC20N_d@k3A|o>7rart6s{L9 zgExg73+~1=DB~V@v-n=PQCtOY5#I-I6+ZxP6EBCiiywq{h#!J?iXVn|iL2qJn9K^y zkK#w*pe%eAtb|*LSHa2RN8#4u$KVw4YPg-a22K^PfiuKUz?tH;)`_~vJPBuspMoRe zS~y!=2lo*_4d;lTh5L!u!TrT$t+@-tGvGY&On5My82@Ks@|7_g9wxpCE)d@gj}YGi z7mCZ_BJr(ov3L$VPJA0&BAyFR5zlMQ_+KhBpN#3^+u<^C1w31P2V5>*0M8ZQ30H_0 z!VATV;7ajgc$qj3S0$MHuM)Fd8B5@5@m=sL@lv=(ybN9|z8kI+-vh4`-wUr7SHT;_ z_rdkz`{7M7nFlZp;^pvW@q=)q_#t?U_+fafxEkIjUIA|xKLYO%uY`AsSHZi)u}3jY zGLOMOidVzIjPNd~fm?{zz{%pr;nw2yaEkavxSjZSaH@C%oFU!_XU@?0{}QH)GG2zW z#J`6l;(9n+{0iJh{0BHk{71N-coW=T{3<+9{2H7me!VsQf3Qpg8TsNj;9=r7;R5kn z@Cfl{xKR8yTqJ%6E*8HFj}te-CF1wsDaJPbzmF+Z#-HHn;w^BQ_yc&h_(Ql{{1H4? zycMnxe+(}ae*#yEKZTcxx52S0na?oG#h=5~;xFJ;;_Yya_)B=L_$#* z{1{UW`=jcBXA4xN;p}(3T`ca6iyL82DcNhhEv5gaE5qI43jDI zINU}21e_&a3rECH!r9`d;6CD7I7eIu_Y*%2_ZL3{4-`KO=fR2be;sD9GM*9c((YD zaJhIBJXicGTp@l9UMPMYt`s-G%M#4}_Xeg)8E?YN#c#pY;?3|X@!N2X_#Jqy_+7Y8 z+z77|zXz`uzYlK|{|T;-$!x)F5`O?Uh(Clki$8)J#r-F67l?D=t>RPRZQ=p&cJV-X zhj_1SH^UBllTU>L3|^;Ssa5K#R2~e>K1V`c&j)G-X?Ai zZx^?KcZm0ach1uIzb|H&GFrk-;$-+o@qTbHJG={8!7ar5!^z^-aBFcJI7NH_+)jKT zoGMOvZ2q(t>bWENy zGT_1D!{B`J;qWl=5paPx6CNS%2p5Vw!A0WEaIv@xJWhP%m-PP4&}^yuzW6qKls_GBH8?}% zhN8B80oocZLihVMKNgH9VgEe}lxl+;P}}hw)HZa#7=IAH8f}NJL))USqWmgnuoXQ7 z{SHk<+jI|4ECscS93l-p9WG4;e20h0`wlbZeTSX#hq9x-Xa{sCnm9x0C8(WHF=`c` zg4&79Ko3A~N3Eq3+uH+Y0SVS*3sI}NUqs)*3Z*}f+JWDe|4P1Dk8r#8sO3jdtJrBM zKL;6Hru=J_KVSXMV1LgDOFOeUMT1#BpGc}gjaJCBEp#pcyKO+B>{08~g<+sRhM{S4SE4_IntWXMS z70QtBE`Ka)oqJ#;78X2@1nWeXDdTDtyaBade+#-VIuA`lA4aW({E|J3x4XtK(F^i^ zi8kP^kN732$6Ft0A5+u*Q3-yHUX!z)XsPdY8!r~{J%+eDc#E_^$IVgmp>41m(=&4DStF- zEi?eNfAApiggg?gH=m1IlU;?{wRV3K-a6AAs8#4*`IYidqjnd(jM@pjE&qx9*Qi~B zU1&1;BihdH|CFP`Yj`AT8D1cYw+eZFAG~$KzNj7G4Ac(jemLGnJny6v@ODXewhk{r z3EnEuuT5&u9-WMj*^a!xOcLx2=cBgcrKoi>-(WS~&ipylw%deS`9{>re}-BGefvM- zt=D_`oyzy}-yg;OZ`UF@J3LSZYBf9pwN96XT8p^PR=$@%25$#EL3)bvPepAAJ{z^( z?*0P2^{I=Me|a|dzZLX^tI4nmOhN6y)3revYMt~>)H;>><;t%{t)F%L2Dh8}JMh+b?nkY2 zC-Uw7UrB;B@nfjUdBR>>Pv;=A`<9?#@rzn4x^4(Y9?Gh|IM$i8h zB-njSLSjd&<)1|D%w9sRqVC^P{(H**Qu*%xj<*){3b*bPw!i_X9XJiOK6F4I?te=- zQW;01b|xpI)@1JccsErT()LBR3tw~3rozPjRmA@6Wirjdye}vj4_)_U!fhIgZ2e|uy?T-xyC_i9#yzR#in1i8I2ENVL{MXiGFZ{atlyvIrsPC?M!P>8#yXi+`4aeT)4r$sMWL`Y6o!N5pM%amh>pp4%i>H0ci+om(cw%`61knw!iS> zk==hJ*qIHdfL+52QLFJd)Y3hF65g(TPs-bYW~#jVoA7qPTTts<3s9@DAMjqhZND0| z?boBt?f8Dc4a#u88E?<&uTXogCmkR9RMdR7{AuzdQ9A)IKMHSs$1C)R#*wQ?w+c>0 z?H@c3e23FWuxsQsyb*8tet;QxJHuJ1oxwuXdUYjgPrp^DwVeAG@OH*t{&l=<{|0K? ze{wwcza8)kB{ZSdOKoJbYt$kq+_4X>sd(F=mtUypcaT3U^s%55U{~fy zWptO1$Y;wRBY(VnU-^^ebL9ug=gAM2KUaRJ{P2Y5F1$zym#Dxf`6BsZ`K#n7%1@G? zDnCvB2Kh4i+48r@-zGobyo~}2l(0wz{HeVJZ(ZsEsryG&&M(m#ytSZT!nMlxOI9cE zmu#K9T{8Q}*n;&+@N2eF-mh7`yslZW3BTthdtKfy*_-ly$=;UtOV%jQC5t6G%b%3s z*X%=izh)oHhu4hPWAc8(3d_a&$eCzqo3?D97~m*ed&9f#WZ zHXXHLX&!3j{hH6!c<0;SuKYVtjuQ)(0rt#Uf!h7|C~D)_i>S>*-a+lazJm|&c7Tsi z8(y}fHt>Fr+Eag@b3$#0TE)7cw*RA1D|d$~6neVxDJn2n1ujBu!z)ocqw7&SQ$OPw zcpG@{L~Y=G2(=b`Smk{3>@E1H(mnqPytV8LXdAnYHW{Grp;p5$P&>mfQLD%g^8ZBb z3|pNW=C?*|N2#c#d--n4?~dB`C&-^Dzbz+Rg0L2kS(BedhN)kIbMbcnUWi&>C_=45 zm!o#TN%G!eQ{<13Ahc zfLaC4MePjom3}d5ePTRneWDDt6Y@?xQ{Fq}Z29Riu7MrkW+iy1E0_09Hb>qoG#77| zNVie2Sowa&52}}Y1uMz7OX>bI^?}#}WLVRMbIV_EqZOpv?e)0yS=7$Rui)LjNru&Q zmGn{RW75^Aoq^xSYw%X#wZm)QIHLRTHnGxz@J7EuIuo^#&Hc^tb5Ofqm&sR2m!tOZ zsYC7I^QzL{K52=>8S)3uf!tbF%}%BQ2Y z3WsmHw#I{gcXn8nu?#Aa(z$^4~yh?)YKC zbN_v!guki4x2W}rAEfSoQGW9g;Tg7)x^IiOrfx4yN9_{0KLT$j)=hep<=g%53CECN zoy}`_iVB`CJ=ZoM-~CX$jpf6o7b^b})J|Zu)csiHd-?JB#H-=!0h@8mQGxlWUBg9E z_sf)Dh1vlglDc1|{2HY{C3U|}`Rh?@iTV-T{|27WpaT9d*(~3PTFY#c-;UZ#v!CUY zE(tqjd(>Je4Yh0B4Yd>VXUlPTyKPUA=3T=5Z@qa03HJ25T6!~T2Uv#M4y#b>RFB9% zhFZm+lDdB$Z!NP?`Uljue@*4yk$+$QlNex4{iSrLGQ2<&-iBH~!&W219UdS(2(|6~ z03DQm7-|*nCUt)_-iF>&QM-L(=L6PjFOpu0T8-V0mcIhEGaD~;KN)XLI$e4bYTNq( zZk6{FoF}gqiUoHlVG(LgdN*plx=Q+h^4&j-w+gS4u2H`GC*_|;t->!z-M^&#SJ1@L z@M{U+Ba-wj6?hl53VkI1sr;W&YjV&3M&9#(Q2C#v%`OdFWFOQjw7)dr?f!480*5Fg zUFyCg-YU{vdNgWH?EYB!6Hu#If2sS^@pg&wr5B>M{UvD3HYfs2Ey46E6_|)xCz>X8 zKTG+ypjLjq)cqp7-L5{e-iNoR;VNk@Y8Al85*g1b<7Lz~d{YJ9LG3>NRO#;jru=VF zn=>{q40qTPwc9xzwfo-ld*H3Nd;Upyt3YmHEQCQy@FqG#{%q-a((_Sk%8^p{SKzJF zUMU@q+5y~OE$=6Ijr_D2U`<#iohH3SI$yd7wH>-&g13s?FI}np)u=V~GpJoU_ZyX8 zul%>9F;DnV1wKJ-fcRG45Ab*Se@cHrtpdrT!UGad@k+59Qb3545j__!-Yu zfqAGkU0iw}n&`dqPszWCS|@8jt;Ie@?Eqh)w*4;opX6I!7M^))X>~s z0v%NFaA{}dyYD976SbD;Ep>l_^1T8l;q65HX_|*myv-U6Sk2Fux*v(R3SEZUhU1m* zeyZ}l#jcaT5w%NlGinucKTrAow7ugp?teSLA~LKgm#aWEYEAgK)crc;=c*H~m-ol@ zM!bEl_x-)8e4p08Bk#}u_b=oAw=sDu8K$4BfcvlHeTMW`y!GC1rA^9r|0CX7a-Y$m z9)Q{jx<5$X`%L?o64FpR<1SM7y_DY@wM%dkYE3#&dX{vE^g`4Q=>8IUFF#6tjLOHx z2|Qsk-VWpkyiptY^L?iL&Dw6B)cr!`S1SEpsr!eNue&G~JfeihP`h8BL9GJrUsC=n zsGWI()cw24{}XCWzfJ1?FUtQKwf+Bu+Wte&{hwT<+YYrpaFDdMG!?Z2A0h3ES`+s` zt(W(fy6=m(K5`0b`x}JX_CCQGEI$-Yw&(xFfbH;7)HW=Vx*w~2-{E+9A9}A=`Ki+D zmG3?#KMS=A-738ujakAXC3u0Qc&kvNhUmTW52)M}j?<;?JL9cFJ)}pY_O$CGJs!0dbAO`zDX8u5bgBDu@m7)ZV}gs(L?1w{cV3Cw zcyOuw6y=|f+J>d_{&1Qu?=K|E7YEcApeWzAijqE7Usqfl~MFl;2+I=~DN5zf1miuX;1JKdnLW zH!rLOS($zZ>@TgVQN! z16RJ({e^hDL>Hsh*G5X+k5c|<=@{u1sI{=pjKh`|1V$n$AZ1y_y6YW{t9ibI|0jInsV&`Oy(0Y9(60*?;a%W=!V;XB8uVdD{z7N_#@{x#-0I))a1vbc*|zcy>;&J>&H5@AQfJS1oq(sK{go{^gaO<>3MR zn-C4RhG*vAgxHFo#t!|P5Y_WigD!ag@=E>u)Zj$Ce-WapA~iT0?_Y#SzB4r#Zp(fC zO^CWhsX=E7_%|UM7N-Um;{BTtl}l2Ci}3zUh~j0b!IgObCPdx6Vfkr%p}|J_virlO zBmW{qIo>Xfe-WY*KhHiO`8Oeghr=5Cmsc9A!%N^_PAPpPHOOKIyT6RGGA!?3MyYr- zHRwmae}AZCbyxxaE=nohTExGLlD{T3ILB@?|1!$@Cu&#R(EaTi_rCW>;C>thRpS;!JX8=m+9*^>$c$GWFK5o-wvC^znGAT zUqE^PoFmfCoh$#I8XST5b^nScItjhl*Zm8d zcL;7J-&et_TXqP}#rt|;W~&ZCJr(e8P84Q#2!6IdVDxqW{Ok@v9vk>FdqwXK!LxW@ z)h^EI5Y*v)5jpGR4v7UzUxv@d+tbaL+4Jx(;C)fL|G*B38I-Szm*LN`A>qvMC z@2lX&XLbm-<9!vp`n(Q_OXOd#X~J*djC>V5rMULXK|S6%EaoeoRX2t;@)gcHd4DP0 zfTsZhU(syD_r?2yWp!DH#Qo(9l=XOy7x;o?qr5LjZV!EI_kv@S3itwJ@{Di?zMzx1j%eLb)dZztgEfm`uOcBA`x-%b_q^}HtSz}N3mW`;ZP zHQ`jeouMz^Wy$xwC>&{W@K!-zt}DP>1$}w01fTe;Y@a-qlJF=6u44!GxZ8S5xPi}Z zoA9>7yU4e`kXqg$QEm?2o-%oOJ40V?%g5Vo>Wf`f%JHcICORAh3_7Pu8N}d<)&)1G}@HVaYwV=9ru?~r$&=-pe z=Z8D+Hy;gnyT-m2v=wg^^0lAsc)O;)))U;`A@N}MrJX#yT}oeyDaG6V{PRP3jD*8T z@Rvg+6=4B?w^JtXODz?6JD@MMR4d;X8tU-&@bI}<<{jY)`N~NJ-nRFZlUOwgRwG|3 zsl!_z@Rf`v<@?G=@`A7v`btO^-nREQB{}lGaFK_%XMrzNRN=efwJ;G28t)8ily z@pf%}p&@l)nC}Y>*?8;yzR*yNw+i?|Ln+=ak*_0^Dc{!(DwH3t6L9}mD#71^SK;jd zzAn(H9r!xHc6opA--Nd&_4ocMi^A>wy?-9wD&%j$^YKrsn3I58o5^qiDuT-n#{f%k0%KIDBI=ofD z--tHgZ6NYDpgBvz{rL;d{&-Fx7Wf;@d=>CFm<3@0y|LsjQNF*REWul+^Ve+^D(^2O ztMFE#Q}p($PWk>qvL2sU3HA4VJ5}IPHn3|G+!Z#lzferU+oH6;PODP>EFGW*Zxwn# zz5#D7ut7d&Y1jh(y01Sz$?kuDzn4#f4LtsSuN-e@;P3OQYy*|#6 zujA^K@2}q)@HVvj+q9Hr9fFf>hyJ=PlLYhrx-1)S74WxXdCK>p)S5?N09=6g;et4OdW{BI|b zHEeI4=)aysHn4*`*?~_YiysSj_*;|6a`J5e@=0U^-cGxfOR6DL{{MK_WIwNMAofl5@~1PlgOYZoc8|KBr>0T`vYa4M5eCk5Ol@+Br@;u z4vCdbpF}p`?Y8tuWbzXo63gm7iL82pNu=$-Cy@C|ne{&L9SQnnr ze>;gRW_zoEPa@0Ze`^w1P5x1o|IJBc<}=|G$S0BccsqbkB1`c0(dfUOM6PFhYr)@~ zL^e{uZX2IOW5Nn{<~ZqwhIL~bSDCYie@ktyrK zCjL(+k=c~D+x9=5M3z$C4*37uB(jLk{_#|?@@_iE7 zh_@E;No14q|Kmwy$_wERd=i z8v7(N^TqHCew{?#^6`QmwI46&x$lUW|1?+mAH(b9KgCsr-@?u3Kebi%N!YCZ%UMBflUmF=^QSn?Lw0cHlqpQ&^A|oQL;c z)Y*PfT5t~De{rYalC+>B-haTRaAaC=6}N)_fKA;ryuIRXJStq}OSvg6$YBHjftrS! z(-IxWe~>1)C9GgscDQjsz|UM_-}<&<82=1zYVe#f3F>pzo@r+wDFa+U=HfPjFI(PT4Ff$-<7Dt?;Ef-xw(WEG_Xm)PGYTIrsCl;5M3cWKQ_~ z>%xD8E#QCCKbw^yn>P8M@RyO_k{bD+?AIMsd*3%b-{}$azkSQ=nVzVjFGc0!?JKGN zr)k^q<2VKX)3dze(-WP@|MaW?Z)X_(=~)mI;%_Ix|MIMHKj@E|+%zZ~0net1Ct%dud1=+_Pp7hY3_*XAA`c`Y;Mi`UyJa4Jo-_Zj-~e^YT- zV?V=SY@Jy- zo|s4ZVs{O1fPrd9~1FTm-TO!GIVY9xXHc^waRxE|4a{(vpl)e;a0CVXJ0&%%!d~a!5y^WrJ+bI~G3Bi(YF39A z-M>?^9&b}q|B6U*O?ohw{blfLW1~sGmW}L2c3+K;1_OUhc!UJoffrc0mwyb;MctiW zg|}Bl{DkFx^CARyUZn->{!S=P&RHqz@}N)HOrS%l3H-QPf~+48;n8}T-~aK9FB zE$!YOi9Pr5b;SAKei} zZzkptbBPLKA+eaKB<>=X5zC1h;&I}cq45*$j2xb}9&RN5P8>Gu(BOFD0%FCm_|zt`fAE{b$*HB9Bkz83#rQRMKX*{{WaS`_Kj zYB}lF#~ytx-hDC0Zg?$z_G0pPy%rz8IC5I6cCUxyK&RJhpIaO`r&&@~L%dC85rpsJBF@GZ@!XHZ z+imH7EPl`OSK_nxP{J*37beC%oN~dwLty+}ml|XBqbqyj@)P-S9R!cb|;6TJPzPvN`0&cjG_Z8|js{sxduL zpdRH6f_VDH8+w8^jufccR z!%xNUvA^_S8VPpG_y*VE!xoFTxi2z5sp6gZ-SB}hhGC*C-&{H z=Ul8$x}T2cXWNe1op2TjnR^s4zvm9k+fA1Ir}(@FB2(M++rpCqzl1m~>~4R#FVe2t z=!ugOKMc)`gA$jJdoWvCRoz?1QwOyzlE;m`j9-%O^FjRU<&nNgj8)MGBYo3$e2^Yk z4B=Telo&?z&7_Nc7@zTAq|?4xAEpOcAI4Wa80od|2zbPY@eknk)i4JLGAV20p#4|< zVZ7r*kx8+7^2Xxr!q~ZGa`gs&^sl|q%RElLUEEB<_ft$++jsgUIVYZ&K0?BaP||IX znxTDp`B`|oQM1O4op4omW`(OrNKD44h}{Cd!?p6hp{wU-ayDLO2m4?|;slGwj4Po@ zwx%aOt5PQMUvAOVv7^WI%}iDL1*0ZS7(3w#3i5e1i$uGL2ThtZaS}=W@K!@V;NE(1 z-%DB*QO3@C%wBpq-cDlgd{5tdU&~I|eL2D%?p?soc5ki!Fn&gL z3~xU{`0w_&cm8jAKfZURQ%4nLUNhzL19LKq#!MMAddirh#KGf-tcW~v&cIL76QhV1 zwren8)HRdG6lGpEjTSB;-v)cnFB&`QiU|`ZPZ>MfuOC0AKp7iq>8EWTVQ#nfSAX;) zk;8j8;%#K~eVjVxim?+iCr=n#QZmK{kKohv#Dp~S@`+4Ic;Sl~Rz}WDD%uu*V`b!?q*dGESFegZbx_e~|2j`8`Ye9JqmfCyw<~=V z`>^wNe=KbC3HK+!HmGg?EdIfxk*P_`K98UOSY*LLnO}rs(}UzC?(;9=KRg!c5%u&h zNw-Ip`y{$|ST5XdKYZ5iF|F%CyV#!%_%_ycA$ENce|2?a>S5Ejhvm4jVIR{MZSj#?h5XKS0|f!L?&26ivK#a!}JR-l0YGw1d9WcD?&t zHrBdM@=5WlTSVs^lyg$Xzf2i(PKvkLCt8{G+)uR+?-T9XDyiv?`0EEoFHQQ>g4*nq z=+c%+|GcmE)kC9MEm}VO$k)OCgYx5Fr$i5qAD9t6ru7e&lGOi@AorG)wdZ6+4@(+R zLrRO}7QZwPau*plJC)2eK=Tv^k=*5mE$CbD;|bo`oRKp3(?pThj8VBi7`7~<&OaZB zt$f_}(jv%x^6~iZ509QXM z>}$QbHZ6l+?7stlY1TYAh5f9Jk3J$=KIlpEmfzd#7iM)Q9+Puo?-Tj?my0vPNh!*u z=FcBO?Gt7Png1idHaj!=Zsw_^TMQ&lBl3tdiNVAelZYwAwZwJA4MZ6+i@2G%mAJ74Cgu~1v*R;j!S8@qhz8BKq2`NRlfG;t+yHBm~$h?|Ld#6n`}gjhy!Kd^$RA!>=|iI<2? z#9PGs#K**T;%~(F#7{(vi5bEE#6d&{B9rJw^dgQW`Vj+&Gl}zv0^(BQa$-C&g}6S( z|7H@m5*0+8xQBR%c#L?8c%FEfc#U|6_>lOV_$%=}@iVb6*YyCRJ#jeEmFPtrN1RNY zMw~+oCq@#N6BCG}sU@`ygQCq_v@XpEQi)6=D}L$O)OOz1T5;qbz5p#(;6&n7;tV36xPTZ*j3LGmlZoqy8ALg8JF%F!n^;b)BpxT8 zCe{Kt6HUY~#J<;N@B@SVuN{$2bRxPF*+dS}pU5Nfi2|aKC?-mX zQlg9~Cn|_aqKc>{YKS^wJyB0I5RJrEVmq;uXd(joLQ-;Ryy5KVwTF~v1fv)L?<0;Q zY&6_a9v^W|^oSO>ay8=}cXk_;GG^Skqb5!mtZ;fw0Cwk|8bEr1Ot6RihJc&>A z<>y9^Xf`KaeQtE3WgSn}raAG<{OB>wZi^4gj}AquL$@VA+PT@SPn{RNzIj`YV*i!i z&TWUzx;;L3ShRBtYcq$XEMz5%wG#g2-2=J4n#``y8fg}v~Oc=2#r`i^+{@MuqD?eOT*=mPpP znf8%58=az7T}HFfLP2IUb9Y+lg81|c*m6N_^##$@BjT(1@yd&%563v+^+W^lF|m{Qg=l*x`yhG| z#}lU$Lx>T?SYk3!M$9GdCRP*A5pNM65nmDC5dS3hUC923ETRw5pBO@1M06_Ve^ZEA z#A4z;VioZ;v5|OXVSMX|=oLwo8S#q-9C>d1qp~h-ItPW7|2k{j(_1$$tc=%R61}($ z#m>ZDPIM09YwnKpijN!_y`il(Kb?t|&0{IPV`Owd>@H3idlF&yP)qr~dwILT_sqB5 zThH_I6Jfh~+?T-iqRssz*lOv1GHlc4m?zkTH1WBCBYWD7r0uzrwRpQ@J^x8~&z;V} zpRq^&ZLqxv@$%;F4ju4o+69TlX8Ujv>7I3Rzpg#{d*PXu_G`glHhd&ut>tBIQQBR6 zLgMyG#8SBBUGdq4(Nm6I%0rA~yEW}?)V=anhdq7I-9EmnFnZ}pDs~phHlO#+Zinq7 zkb65_duvy6&4g+8Z*pB9y&?PFrSXbU(c{}{7e`a8_K8j6jiaKmj?0)CVY}bWWCe|) zozQbp`w+_3rI$s|&DGW~u(h?HAMkL-1N*S;zBAr7ci#nXO~h`JM@O%3wlqF_G~?LP z_}bCY0UhsQc1Gr9gneLqQ+_OLjj=NxPc4d$Zgx+6dJ!kEgjow3@!G1Y_}Vc%nyTX4$3)L+d0*Ie@v7f<%Sak{ zU%cS*=v8e>sH{&@8j(PJ#x zM(<6iJ;+GjaYZ!UlI;`y9;H)@qerxTAROHHD4kavJ-*ok@v`FR0Ayn^Ps|76+l!-D zHCrAZHa6OMzvUT;o3DT}W24ua&1AJ(8?b((ZSsxj}5p0wQmdE>yj~>;wI;`j{Qmh{iu8vO`A04nC$<{FURL9qk zj~;5)P5`@ge6(k4tTpn!E0{aQKbjCdG~R1Mv}3as@%#x~#1-+`6QZZLCDWdeD^TmC z>C59=!rYXJ(OdU<`rwT2{S7!dqS9N8A%RVnC3&lIbBE4dL^; z)niYec#Ww1LHmYlOrAe^w~zNLi5_(r#cZ^*8>BNaqBIEBlSUT`DptnJOQI)sz}kqg z6Sezy7iy>4VpV)gN%Zt#tHO!UF{q7`7oy4ND3oJSEc~pRId*d9#A~KxPP{zxvWeGB zD8gNyS;%`2^7p4)1!_%}C?7v%eDsj`$X4B2C9Pi-ue_RjlWe=q?aCZS>{wO1_3G#o z`{X{(0}pSV;6S2Az7uRG7ZsUPd>BV>3J=pL2k{@-_)KtD_Xm6?6z+yz-XNKFUfjD z;&sWIxuiGa?B7j5vmo~yhmYowwSQTnB>!U|$}O8uQW9@abL$styRs#~!FRO`i#11z cStzx*e!r4Q6N|1HJ!aD6q~v(noao*E4;>OdwEzGB

FJLdO2Fi@G?t3 zQy;T{+yF~8(F)9aYtxxeXoOdru- zOIHu}HyzRxt{Pw(h#4^hb%FN=n!W;ss&61XsG_9#RULT$RUKIUss^x@ubEn6{!6c! zUO@%(UNgM|C8~E`Gi}o}Z+%M_to^nw_{H10p#N>t%P83HAA0^T|IqV`{$ZNjNG7}Brvu#5oG7h>-=t-?c#FJXQmdE~M5LXEmd(|fdaZYqt zr%kZd$4*-kD?W`CZX74Rh%J>ICu;X*bDVg#f5?5rTI(pGaTEhKhWY_MJcgSTPMV+> z+PAT*HN-t=#I|_xf*{zpsjEz)42~^0gyO9tT60DclUSuF!ru~tn=6UWp-FE`;w#u) zmPleZ{OdXZb;JCJWw9UT50k~0G2bJLU5A95Yzi~EMud_7TLogkQ--RFEB4T!(qrr& zX7QPBp$5>sX;nSG}isA&5P# z5R>$t=EI;Dyhy00)qp4ZVDoeCLR%bY8o^y)X+q&Pw#g!XE99nxeTw8n!_Ln@YR$aIa zW$V~Gb;Vsmx<`HVA@MhZ;P9};^~9gd>63#ySg}g#WVJ(mah;G*I36C8tcve<^;$!* zZcIYP1c*PW_$H{et>Vvy2L9h6-d;&d3+(xjfIW@5lEl_R{&;m?lDH#5sD?jJ)kb_$ zDBsKuv=P$<|7P{Gwqnm{!9Rg5dK}Cz9LHL;7oRrFV;{B`lLW_RW@|4#)y}aA3aEg+ zHrT$8Rq_nUNrK{^cQpzgm6ezmV|qa%xMW*2T)mswSr8E%o7lr0M7QAE%no)C8z$*= z5+JRFd_!LepOQ%w^eO4&Jz_L_H!4gROu?!-9>CE)b>opEeH`d z``UCC;^8uJ>Or>gM!km(yI8GmqPt((7PuGMYRVoAhr^O|>LKmJ98$vgl$C`E*mnIhb;7K{7ku@4t57y?;pk+RGth@NS zV0Wlb_7D|=;M&Q4>M8z)i_5B>VppMjF6-C}u4xYTRWGrz;G4@P^b$J>xjuDEFY#AH zq{;@m%8h-+r`g2bVm;LB>Wv*4^qzk~{N7|qhOLPjRW1|f_y0F_VC(Z_YnV>04TilK zRM){MTV<;RlX=L>=>x>~1mVOYe4ltgh#v??@%;RsOlkKZ?o`xdKFm_2<6oTWjf zF{Jdd@P*!&^lFeuafiTDS^wtNz*(cMqPW)>>dpnDj9HfujrOzw+%;#&#v&7i;Q zL~=7+KP%-B)BeN#W;jg%|AgloLJqd5QcBtcuqbanJ2q5oJ)DwY(Fm+zU_-RF5)!T8 z&0v?T3KiaKin_hfXnK=)FoYuxv=FHjK}c&?O!i}>5dOmmUV}NM7%8S4xDF3-<(O4M zS$GX{l^7|b9J~gxYK&A+4qk(p%?Xhz%E4<8OT(NL_z#U6j)5STfss_o!fTLA56`h< z1XEB$W_ZMj5lq1xM|dO$Bbb6Yu3%(1#M~IeB+SYUk9aVGDVUQN9`RxXQ!pn#JmSL$ zreID%c%%p;n1VTej1)SD>#@S{tWt~=6NgvebgUdBB^1GH5Ua#U8Rg(Lh*e{xf^zU0 z#B9*FhAUN+h1VdLhTSd&{zFso8pJX%l1e#v4PthTq*D%FgP0Q|nUsUqAeMvuUvW?t zUW1$)BQDCpYY_8bB$smV8pOO9$)g;+1~DH-@+k+egtdy&MkTEB%*o(Xn!%g)Va){X z)`d&Jz+aRswgX4;ce2<~^Ldro%rXq7LfDTUCU%3%r^Cb!^*|_6m*#FjJa?ETesq}F zrWcHc*095Gg!MkmUio^o3rwIfR$~_dHk={lzmN3%`Rw^G#AMCcCK+_kx#0`Va~}9Y z{8i)2N^>Sl3n^ze5wxGA7zal)(qW` z)->=Rd*&frkbEZ3@K6=N0@4p~n$ zhcNnHsKY=BR)`w(p!&37&At(b-`_CsybkS#Gev#HFd}Vu~BqbeB43N2rHYL%y&pk zs+)f^@N61~YuhTE(kM^N4df}+x7C}6#74D*ysPY?3$R`-xT-#PL3A06&WnC<9Z^c< zMRxO|s0y}AY~>}fw@{j?UcV&1BnTOo)P7gsX;O4v^20<0uZ$GeC3Qlj_<|sKFRKTy z!yhP2D7XSzx+%7+OsEpSkq9k>#Yf&1HoOX+F^Vp$^Q*+Bg5cc8ytl+3E$)4R*GC4c zq-5-4FWeRf3B{M0{Wh#s$}Y2Qx5cl7s>`f%wfKmTdWC&ZEhY<@SKy;Bh`X++mDS=B zc+fen&buqV94Dlfvnw&up&ps#U`nhdzX{yg8cDJ6+Bi;`jcL((nvv2Rm}b<|Oq8~` zoEhV!9g-XJ;0C9{vwvPWJ02(1l`78%ld8_Mm>N<_g96mhLaBg@{`M*50wi=(JQvuU z8qyH*&YbzNS)z9OlOVPNeeZH2|OPwi7 z@bb}fN_|C^>cSsVJvBYv^bkuiO9g`cwEBWY>JP6{PpcUL#G zBZ-E1|0(Dhut;YQj*|1rTXhhGm{da3#H*< zw*uDTX{j-@cau6A18I(}Y+iS%5xdq+dK&+vtrmIdX^50jWFba;e`-0?pMkKmK&Soz z5km@dbO)U)FBbT^Dm6Nh40n1a_aVg#3G*_`5Gg6JM~BbMlyEk zk))mwsiery5HS?7O}(JheVCD3s8elwK_q>T9vO|1a*7;<2y5P3YQnlGknGy0GwB$y z73q<)81Yl2cW;Qe;jgh{%gllZJN_(4S7NAJ9|#S67J&S}bzlKT?8SQI9!9Dt@^&AH z6d%-cR$#<^NQ!o%NP9LJ$h)vL^3H?+Pgn_Mdm8y+Y|?_VT;Z zWTA2~JMpeGK*(6a+P^2gBiNR(wD;gJl5>f=>^*6kA>Mfb9v{JlvRB5L>a+H%5@XnB z?@M1aw#|U_b&bK3!&`O%=0!MKXN_qkR0>MQ40ic_=?TF(gZ(mCYGQ=xwsvw-tm2!= z27Vy5=~zAUa8y#f2(Qn0&VMNOSs{HfN)E)5;+6O$Gd7547F+Ux^qk|=j@D82c30Y30*ySLzfzJr3`H&bI2R~)|S4?GOR z{fV-g!LTx)I_M*5mLa-2-ylIqrg_b(&ifI%H473Ie?hDWW; zQS8`f(&s|iNDZuC1psbs;N28sg97lUw6Z>zItliXtoUZ9 z)V4)Y3O=?MM(b}B{BWhm_F@bc;xUDk!)lXZQY|xXs66qrlp^5t@aahDdG*dOQtfD= z{1i(}kzT>np()ZPA?-9Pv`Gp}9wFVvx0HZRh4)dXSoJ6vZhG!Qbou`t4UVMxlxiO> zEi^sm!zrl^?2W*hEAeObjz)o&+DKftJ7rj|{SYQbM(UZz%0mHNj% zVAiDMQm7vSz0l!{;kMdQgxc#cr= zu^s8XpQMxoV>0x?TsxaQPg)?PWvX4Xq`wW1=cJ>RR(+$XEzBClm8iNESQ!V$kHARS zcF|m&wU*+W&3ZbeUV=BBjc`ie3;uL=!zm>vrfEtdJHYqZQtQOL%=_AaV+gK0uu1_sSANL z9M)4(rm`FqN}q~CkH1(k8B}YN6`Z<+SBcnXTM0!lDp3PvxG@7xv&F(`Hmj_phh^pI zGLqt$!d}}c{VX`9vfVqShC=RCR=!heAmmSF@w>ny6i#J7?~)q6Rx(x7YmLRp5T0IR z!RS^(d92m^qf&u+p2muyTNIv0(=%XkuN4gir({IP7J)2SlflY%NrMDO2J5yP3(ipA z+AVdA7JXPX?b$77GW>BPsbgJxFeNP*s!nIe_DWZ*sUxui!@G5KZFpQ*A0Bur7m;>2TOPz8L)-AJFyLs8aC0AhM(s)(s$Xvs8K;MP554^=TV27%Lt!7~k3j-*iDm z;Y7nWw&fHIOt_vsC3UY8dKap_`T8DiC%k5R`n1&XIqo$ZX6bL)zR_Q@{Q?R4JGP%7 zQ~@U)L$BC^%HS;;D#N#E=T1v46KxalPQvkfypzqfJINkCBYlgr!1OaxA0cl7^PiF6 zeBlJvwhWdr;Qo`#BzV(0kv)AD+M%!vAO9#)>nudT^FvNgA$1b_N7yh zIq9cFeFlgua?&~Jjc4^ate)nuaaapD1K<&VeGbzyf@%7!P!D}@Fs)=fd*nP!QQ*~H zIWK)xTc05C>x{74?K=;Pm1>Q6pM6>`{V0?}uP&Evk@t->to(x1D$$`i^2m%wE=q9d zG>!GYC?!2m@|TO!!!PNMI6@NV5i5EQa6vGSNUrf%!)@R=^GDFb6mGqe;i4}5MMdL0Y?S6fM+ z!KPhB*D`~hxeBYCk{PT=r38oAX0qQZL8W6RW0lgN;km&nCJL6T`N0^jbxLqT(U$2o zU{lt2mA_#}fEr4GF&RTye{uwogF zu!lv7aV90-&OW;#ZGOTDEdvLbp-r&MRG~Z7eOo04%VU$39Be!7fu{zJ=GpAMD(O;7 zoQlCC;#&*N1;X?QA^70Zk0D*M#Lm9GDYZz{rft}A(Vme|WrdwBx+yJA%+Za~wmQM3 zo0LMkI`o#*HvwL?=0wX$LeU1cJ6i73M0w7TbF-O=b)$*}S$+o%pU%J6N7b0hoQBsUS<8`(IM>;$!siE=%mas%rx zMi{Iv6yX~cp~%Lzo8^=JoHn?po8d5GV3C9MRqVLN@nV+tgh1<`P?kH81^rA^e52VF zi~M*kKg^cUF+^OG6@wE_S;IQklrx2tJauPHxeq+0s4Ov2{y4$4M0<+xtYgCyq4nUC z=tYTgSBq<%KPt(DP7p?y;#p0Z?33E^sDN+^eCS&V@N^tI~QI&yC9#L^t>pZ#@Dh^uON7|D55o`Qb9R~wK7KR>RG z{2J_5-D@j9#46j!Pr@-sEv&Q!P0oqa+sSp=)V6XvaQ9lcxUJk0*7XpcNKQRc|Gakc zAoRso+sQxB<3=R#pU32G^yCteG5v9{;MilbOiwR-ru8}9`$wdsUmc|R)dKA)0vG78 z=^@|{9{?A9GKdsmBW~8L&HnSaJRg_-*7ovS2J+<*92?(3Zb_^5$c!x=PT62CklH^yc7tS96_4+QQ$0fQD?d8dx@ulOkOM@QLh*uXyPBsVi`P=D_vO9qI} zcv5Z(mo-nyR!BYcq@0MU7dy*e!sWd#$g<&GWCoXqo|0R_rN>k9NQ25YJte2X<)yBg z{8wG&B*;teDmRA9vaa$^jW%4-J{`8qYl|(2lV@KkN--owE5|ml-reN30Dj#~?gy9E z-Q-8%a=e?|0F0>aCO?Kow0TBu*j)uJu-Bw%F^ll!AiRaJG=oDX(TW30uuxt!9QXiPS@}kskhx5q^MZWN+$6Nfi-WUeX=elLS3Lg)M^hKPBbON3hE8(@&sb@T zReTW;t^h^Y%J<~EVT)AU3xY*+{y*g|ZF7R^@kCEZYYkvR>q|Oa`|$hnk^A*nK9HXa zGaBc!kR&aafA~Odqo2;xo|Fx6gBK;Jr z_)>Q9aeMX8hF}J= z;zvQ+&S#8LH5$^f`INkK@QWncLlsrXe}-lMD1Y&YE06)*8=9sVS1sjkSSSdJU3-`> zhN-UljQZ5SU=kBt>(!$_K_dxe9(L#7@_wPp!-{^ETh&Qj4}I=RBY4DsY0wP29I;B~ zdbQ?%U;;qqgA=V_8lp|3RiW;NV%p#NZq35jFM*=5;GR!DdMn& zujd4NXS6&k(eZ$c_%ZU=56l=pMxG>Ox>&=pa&B0M#;ywk=D6;+ z;PX_uk>GZ*v8nRg9YYUdIMD_>wth?S6TMRXj#R+50xs4S-wIZ1oIJEnsiqmW;kCz# zYW$l@P`e4^rkXAygKyzEM#53M{d7X7>YYod1} zO2Tdhu6$~PL7;N#?{bIU&h7VQ;35eeC_wS}2mzb15QJ$?giFf(IEzb@;Th!t8Qs$4 zMv0jZ$oL#HavzY9i5UeC$oMl&ZjxB^fQ%}X@H`;nkqIzXix;V%PJq5hx9e@a{zLTo z$E8DiRjpvj=`uV|tYDkcVIlFL4)pwF_!)t`g>2YlSbi2SWNRnOF9;P2S=mCl{2UbWZ-?9vX5DEHxjD$Kb;vCsu>=!0uxf|= zFkGzj6@h~8l43;o zxr3lJAbc?k>Y2yn`LL4N$Xd^re}w8f=F87wJC!WtR;8>ZLy>M211}s#K^^tD4IjYbDaL00!txtkf^?83qQ+W6(LlD?3wJSn%ehVz*VuV_xRhH|ww zI?ftO>P&X`BrN!5qK2Dr>2gY50GFeuUhtH#AI`~NTYM$3%Fs4D zv=6FE)XH;my1}rWjV_1Yx1D|HY1%|BE|-tRG~JFBf%DG#ya8`23-p?4`w)f4*@4UQ zyEXjBVCmvNrapBAmSa{}P3w0mUP&=1)fmOHU;szK+BIz*`}z)4b{$K*BiA>qQy1Kk zCp0m5Sf@tjXAJAvw~fq=YvD?|l~M>7{X9s?I@Qt0?1~ZGJJc7F%o~M7N5Lg9>}~xO z$`Ej_IoYgHbMx~;E*z9-ZGM=IZ*G2BC@Nrwo0|tDdN$rqcWYt(M5r!M=e00*g~K#E znEw&;cY=F68`R4Dt>D{^@fVoX+FVDdqR3aR%^if?4OoEkAf4*9*5)xagsS}M&zqlu zv-Ygp^XB&KOb7E~p=vX$`+~VYJN$(C1tD__Tl|8#2m7R>`57ETEnhT0!gh2tw*gW1 z^NZ%eLhcskf6?5Ijp=0mz_5pXKES+NDA~fg4K%lAB~O|s0H}W5*_;(E6mDVDUom%7 z`*j0DQCZ<@<__w_XUzB+C45u#hB*~eU+H1~#o%XydYEgoH{LXNVfA~O8zxqGv0dN| z6yDyav{qD~>uDYbpIT0^llaI_vLg5jn;I-rk8v<8j1q!c*t)64-l`qX!b@@kT zcm29Kk*7&u2P#gx`0e=6e(PVRf!M=&5{|-IF&yGz7 zCU3GCwpd^`oNVsQdZn4ob@YJ(25O(@!4?^u8^~slO#t|}G;?QbS^gz@=I{nWfWYxX z*xQRy{_m<(Q1v@Z1^<7H?)zWt?KZ_c=>N&o|3yIq)?}*rD9qY-rkcCLeAy+#{B#4H zHKn z?V0&=KxZ;@H_*SIncsrMcA4f}NIaTpZYXZPqOa-Q#SSPSbpdAiapiG@<#?{TO zndZS=^FW8>r@N z^Y>83nAv9B+}SxBD!+ln&oSd(QkyyE$#7Xe$2`}tLH*}kNQ7vL!;Je)7abZ?bDlXJ zGPCAEJ45P$Eb{=kJUkz|^9J_rd`;c-`5L-rzIg;BKJ7HuhszsIt;v4TFY}#nOE$1# zJ$BD&t_!ic+2+o08JG=I&<1sUw%Hpi6isG>mY81`N++`gOU!VxrI6iPVs6~9ax$FD z&{i8!mf0<|omBj|DN3|~kwWS!t~J-y)1-~xy5 z5a}2?d>1+Z7<9%;=qR9OOPHXT9(HPv#^exaE*Z( zNULg?U|3bts@b9&=J$oXRF+U>ZY>m~vQAa7E-FrCpW?MFmCdX&!vX44b#Ilq3!En& zr<(4WpM&+~wAU<;>&wfB*l(Vu##K(s0Ha|RyKJ=7Z?Xi|+fh-Sqi~xF9y*UgymeGm z7cG8Z32SAtbc8o9@0l#$!m@dn$aCg3=z2X{WRAT3fzL@b7?Q^A@FihkBv4<*^vSQOFuUW@(Ej ze_wpeau~0z9=9w}9EC90(Vd_tglS0etb_#3KgL*g*HDUJo6B;lc2cZK=!Hv~ffBy3 zgrhL{y_P}otz@;^TjmQnf3P*}EjfbQ%|7j5X)2@_s;M0;Z^%OKLUydDrEBf{h2Vmg z!%@z7Jfei#)P)OK+g@;AOBS-UUKXWxMHm5P!0pU+vE#ig^@S7{tA=Yszk_tdG)sUz znRsi0Rf0o4aFoHp+AEg9k2_o%kQ9gSM}^^_Bu@F?gf=RckA-xX+Pt^rnjyMqgr7C) zWBIpGa7Sf*EbbZ!j$_b>Z57`!_WA(Jn?gYe%NbyKJSn#XH{IUW-$M?;9-{rg)nEwW zJH5OTwR(UhAAX>ygl%})(#GNmdtQSr^AdLRWs6hrm#A|GSzuS(lYSCMkVKEJ1Lmr=$h3Bx*m11qfJ}(-A{z>ee_bhFj;*LFh3=3Zg zcvtRCF8BoC2yyR}Xw(ISLyNs=E3^@CQQiTGskw z3mijO%RczQRJjJ1>vYVVRshd;GEC2MbiCunbh@r`%VXO=qn+y{BB*x=7BmM1k}A_BPSQUhMlrUbs^4LN~< z5^kBoEC-8Yct@|Y?9VLtNiiIJwKNoLd93;~OIz>;tv|QGCih(S>*tof4O3==^Qti5 z%Xc_|Ekaj>*Nk!Cjo{Sxk?9Gz{wDTLa%tS)NBbRt~e23dIxE%r7kPeM;I|R`wM*XeS%|v84`c_qF8-gg*S* z@~Gg?V-vo%Jl#&K_gMi8#VruH$<^?oweA$*%mKVzfYTaI_}U2GI<`2<~NrAL))LgM^QX|z<8!NC+FVF-nAp0ipzq7$Cy?t?u1TK%V3O{NL9PW~!@u zYG!-7tE;Q3tA@aQ&yT&R4kIS`GMHfcN`C89wKJigo2vF_B`f**scPR&;@7Pa4 zULXjcBL%-}28LMHcHZF?wJ*#4zfM0Fg?e%t&p zQT;)Q&!RZqO-K2GQw5w%i|V~IRG?(`QZEY8Y0*li4)UTJ2~;L!6B2rD(5K}h z$dJjoysZ#a7buw<%!`8e5>PT9nHn#DIMngL$s}mrW{9H;luW$lMS)WQCG);{Q3#F- zl+4WMMIjO^P%;~!7ln|wK*@}BUKG3#fy#>)N#wjZ#5x5|rrz_S5Lp!{89mvHLLgkA zPBpv{b}OL%CX}!Hv8e6jC)O#ZNjF(nKyud9aTvIO&9&Biq-mqBhYpl3zW>K<3+U*D47Ari)t%SGG~n!)lQ&NkHt6m;q3)ZrUvjf>mX2TDO-SV zsCN`7lTf~C(@CIq6UsN#I}6kvLizf&i$EQ1cw^V-c=|~v2^Z{rB;+WdstD!l>+S;e zC!u^D+e4r(6N)S=GS}HONqNeIN#gulDKy%x1J9dzgr?xM8Z99PZmhr62kd9 z{40r*Io!SNzLvO6)Xw*Q-$ z3lB=%D#H05QX+9OEtvP-LlP(R7c)9yf`|D09-tx zhjdzQj$Nou$I-*z`MyQ!a#nGezqnX^%9d10w)SVGvX%Dz3Hy2FV)Y|i>J2JVx!Q;B z+{e{-!U~T-N^}|JNBCoD>HwB~fG z_#-tcTHb}F{NF7h1D2(6eTn)yTfCAlSfaL2J~B5gQ4K};!aVn}dPY&U@}HKf;m!TK z?f?kV*;~!vWsn<{&3x=~G|uNAFNc`Cofj@w6L7gRT^(lIjyGJ`N*oP=hc0blx0@O1 z>OqFPUR|j^+jB>K1JaHnOo$I-SYnt_z4?3qHtpyXR9S}NgflV+IWyE-R9~~`0K^1F zJM*y&>=%?BX89`hetM~1Yt;K%=JGOLgVrx#z4^xny+7{P7KRGgytQLxJ?vZB$m;!*9eIXP6yGqTj)O%nFgh@@aO3H!~; zEOox3`B9K(!)3*L8zE0C^Z4hRu>G9J4{cH(p^@1$TOHE6@BrTO7W|G1bV$NM6w2^N z`ZOgUMzNcbci3E-txjQKBr2m^eU)3csyH8+$RFRT4q}Cg{FAL}n~qYF?u6IF9*W*F zCxPCM;vPlP`I`RpCk{u!6Mo?5abu$*)Pr6f%KdXxlN^Zt%29{Wb>KGj7P`K=jb!!R zJZGCag07bBkh^gmxn1JtZdY5;$)aq!0)NO`A7je;M@`7Lvz&&OsV8o zcdCrZeFIwH?Xj7gVKkp=Ec0V=dH~oaUM&9vw>bTk2qA?^yRUN3A+?>g@_azofPj7h zV2}ozY#gpMgAc2{mH!VOx8cZ*9>-4}QSWJvw~)Q97>$gANjE@(#1v577O^?>GlW&;)56jVuWwd!vyjK_qE3iX`|B`Nm5S*%!fW#BE5j zXw*p%xfH=H1a>w+j|7n<(a4e@av>Ud5{w5~3C#ppCc(ErRYGrqsD$1C%})i**FjQ3 zuYsb3UIjr3y#j&~ngMzedKvU2G#&IL^b+Xh33}5&kDz$gWGVnp9zAKK~O^f0X+##0zC;m19}pA8uTRe6zF{xFK(U)f)e>82!1Yb zPk^9=CV-%X#)F`Q9tRl-Jq9uodK6S7^a!YYA*hT46$w2IDiRtCDiV6AalBC^!3RN5 zLSsNsLJxqTghqp4z94u%2ukQa5R}lpASj_x_?;3O33?K`2lOO_u$^@hx*PPqBzo~p zhJ&C0o7@G061o!vB{U2KC3FV}N@yquN@xfOO6YbF+$COcFz89>Hqeuh0eTV|1bPx0 z2ztBD#nb3Vl-MHKaRWeFR`&;K3H1Z%-GX#qkd{y%kd{zyke1Lbpdz80L0UpLfu4kV zfu4kVf?k23*Q2o!?~!145R_0i5R_0?5R_0C5R_175R_0S5R_0y5d2CI?0^@PP3Irw85(FjG0`w#l z33?KW0KIPny>QTzkQ?*>#iRROASjVe5R{Mu1SO<{poHuoC?OjN77BtI2ujEbf)Y|e zPeRQ>PeRQ=PeM&WPeM&VFJ6L;LGW8aun`DKC=3K86bgb8f(@idLOAtQCLt(ut0WW% zf)XMQ`<;DOcCAC9C+H zU(}&3eGL#C?~-im<>Prok;jBJ@5vEQwt`HS5 zUbH2i?vWtz^ehPyPtTJe@$@1I5>GFaAo27n34)Q=Nr-rQa*-elp6-zlczTwEz|-?2 z1fE_bA@KAv34y0qNeDc>PD0@6$$JDn@N|!az|*rN1fHHJA@KAfg5m>+r3I?YPcM=XczT(HCV`%Wz|-p_1fHI}PtXHT_w0)o zAb5I~M1rU1NeDc>NJ0}pP(tA8RT6p}WQxVT;OQO-fv0Cl2s}McLg48|5&};zlMr}% zm4t|=*GUjOJ$b(%2%hed5O{i)guv7DBm|ybBq8whG6{jFS4jvwy-q^l>B$EKJ@9mo zguv6YBm|zGCm_MoizE^}y-Y&j=~WUM27(d-PftE52!f}3Bm|zGB_Z(iJPCoP7fA>_ zy-Y#|=t&4Xy-q>{L9c{(dI0hCI@zt@>7Ekt5b*RY34y2QNeDc>NJ8N0WfB5UuaXdW zdYy#8(~}PgD&XlJ34y0)NeDbWPeR1gizEo1UM3;%^ePE~r`JgcJU#iaAPAoBkq~%# zmW065^CSeGUL+y#^fC#x13d|Wr`JgcJU#h{phrC2BSG-=ED3?9=Sc`Wy+}eaASfa5 z^ePEOfuMxI)00aDLGW~sguv6YBm|zGCn50kA_;+~mjQ}L_k*WbNhElBorJ*ClYbBd z!P7kw0#DD95O{i?guv5_Bm|ybCL!?jDhYw7*GULGJ^84h2cGVc5O{i4yad71^CSeG zUL+y#^fC#Bf}n)J)9WMzo}T=pAPAoBkq~%#mW065^CSeGUL+y#zcK+4Pp8eU;OSAP zz|-e?ot&^ioWpNDC3yOVQ|dZ>$*Qx^QlMp1bP|qWVLS2hD*o(gwL^m{^p&jgtm5lW z!z_-K;JeeXSS?+}ubfuh4Vt2pWYcAHGb(i_AXH~bY-ZRA?TZ&IUtNzTAxAMEH)mK%|R`>z@42wLp zJaFIJ$`4elyIIy2&d;g$wawe&t0jk@B7Z3aHoNE$9HTRoDMr~AuAay4sBR1Y&w2P5 zRBbVroLBEh0G%@PtYXb(VF^Er%tM(!o0);uNsU?Q-&|9zaDKYTUstWsVU@Kw-P*}W zy~tOp*0HSeZ+=C!#zxfs4R3&dn#Ce89l{+zqY#UetYjohs^$Hx)(H5rm=9U4%b+y- zo7ZZR;CP!gwgJJpHc9X#(0+nA;ts185wug34I*f^vRj)eM6suC9l}^vw)wi#nxXVa z*#axS@KB)8{^?Yr?lQ_ZIrp{Ya6Ue0iHP*Tor>RcxlsM~HcjXEATAz)k(-ncnITUVY{Q*^<;KN&6 z+wl&qt$LH|(T{sEaiXEQy|wkM1DdDR{jGg)DerIXs=%H-z`D+cH1X>3c*P-qmtm_ExhAU>kzb>I@C&MFcu88_6qyV zOYH*x@&W5i{=wZ=yE*<2>%(?`lx!K87dpj2GVzve=Lg1F2eG2<-2RBQ4Xnjx|3|Dx z6_%32zj(~r(}Ac_@cqkyRwhtAV5cLI7|=Obv zVHrO5g4L-fr1@wF6&-!N>@?o^MLe!FjSqU!da}zWLc!XM1t{PeRVWE1EB#m~t|)wp z(7gI|uzd7XYb>H4zcSU@LQDO(UUl_U>p+&4!hf4;{f@o&1K&E$dJFrslvmO9_anUZ zOV)|({X=~2OV*p>kC&1wNnjJ?uM9BS3Rit2)r)J=0QdC~*$(+C1B@=RU78n%1eJc= z>BF)e;*I%nd0rg8Cg!xfH$~zG(l_-ZD-Ow=vQlomVqMOvO8N0utdkm*oWi6=oX(8X=IB?gw*|9;ugtWW)-g?#!{*udt#gAy z3g3gXWzl+(RB`vo7tt?R<7 z)?f*1YgA`$PqQKb5kfF7Sz_&^rWb+tieoD<${XoL=HVsQS5<_$9l730p}3z}Z@t|g zP?ogX2`$ORM?Rs>iRky^zcaLj0gCl~kA3av~-XNzdBRHF=qcdrQeN)76R&(gQ3 zmQRnhz@y6_Z&vt22gE3LHpoXlHqdB)Cz>Dx_th+GXN2yh7sRg}vB4UC6a9{O&~8j; zm+Iq;%Mj%^}}fO4A2Uu&k58 zI8t#1HjctVff=TusP3keQ{YwzX%#A?J@o4+tHq-ee2+F#2og4yYg#vKoV}L+x!?LY z4XV)xtgXWfzrZabU`uS^9kjgDW6?86N4oHUHIYL7yAN6iMHDSZI|@-HR`dojRLYi{ z(+^tvG{t$0$4^*0k{5pR3G36Q@r$(ut4EqZ-o{wok7n_&)(@MrtfPG5U)CjI)jxob z_kqA^hFq||&sbFsUs!7$WXoDZ9n>HW0nV15thL@|%SFz~>nr+QwD$0x#=Q29go}8I zyfxXE&fZ^0IXX9k2c7vUM;W!)#J#eHh0t|MhV5wK{9RK$bao(RQu#eYtZn zPqQLB2Vf9kwJ-D)1IY7>Fr_{W<_aXDN?$SH&ZhE~T8TjfNvcmu<~J!?AC{KPUsSZ) zS!Ocdu4qG9ZZf~3Xgk8HKLEdIXQa(H^O=TI)d=Eq%%XLO&6y|OBbH$qh{hj9on;48 zLqHz1{}$|M9`6{arLn@f{Hs9iTRJPA5~K}|EPMwN(^m3(j0v+00uK$toP_Hl{EFV; zXM;32cE7{B2Wu~|(z)h}VC_i=X}frcL#wb!ufn@A5U>o9p`c_Jzv9rGwz6ID^W8>g zPO1RGV&d6twsUH`!q92FD%#g;Ie02eLB3w2;^0HgnqJF^jDbri>d|Xc zVq~waj?r$VUOO41-AujKGFIE&pw~{vYN4XnYFcSG$zIEej3vpA#JfN>;ksTkhsS9_ z7III$udOEBQxn>1KahLsxOQ6lttsT5D&`sFqk3mmh~yMt ^*VW{$Xe61Rd@!}oLPD+%T3430f@gQoqFB)izQ2Pu4T(efkd7!LKj_mPwXu{~^vjM~ z2j*G9fA6TxhYb5xCyh>rrghRLDPM4`W6GX0n z@*qr%IO)-?i`Ea3EuQV7g|X&j3llajI9o%lVJ3FdzQT(5i#fcfb_7X2=kd7S8p3(a z<4^V0o@1Hwcu8-3M+A&veYD%u%4F|$MMP<;P3EKfXsvDDaGHUz0~)nIfOD57o5_8& zkrrFwHe$|jej)aKJGugqX#VK0y=p7ILB(qWv;p2IDc9cd?Eo#3m2WeD8=$?X*lKQY z>zsia{Pni+eFL@86mSJCTk&Cov>03V_J6;@n}f6!@da}+*X*cy{y?4TI$eIV(?$2Mt3<&UWBpx*`ASTQzHQS>0hVtA?hi`Qjv|CTDeNz}^#Q;u>7 z$cUgp6@ngQW5t*~AH@hFFE%+#spzEQ1hLD>p|bdq5QY`wD}QUq!H7gg#VBeGr+G+d zRE(n{Bwy$QQcx6M1VXQ3Y^S1&YBA3gV{ZzdK3IFvaZUK+K#B-O5s>+%!P-=RtPR?J zAP=^vn0dSQHp}{o2My8ohb0wYdmam82tPJNYeykC!iHiN;(FImO(e8gIaG^OSj86p z@sv&C;V>gcg4xiG9Y{>KhY_1s7k_7 zO%W@PI0dzp%O!%a(p@P(nY|y;aJU8w$&x3vjKTFQg^=BSryejT6r+I7IEZz_((uRw zei?0(Y1*I>6fv_VYS}Gw$4K!j0^ z{LbEp_=!ODFmiB_hyp^iGphUuZ!YM%M4tGJHlN;GpLET8U$X#S_ukhW)xek(vZMdK z>n3Sg5#INX5s{$9OCzz}OY)_6|3^EeG&px8Bo6Y^z!9r2r)ZIm8y&I2L83pWXp8Ah z=RT{Y5B>kWhp7+oI@fmc)aSHp|I0S);ZSc6_kUj7sVIBQzhBUb6;_bUzn!Xe2(L-T zH{6CLx=B-nSc1C(T!Tm_Q9O?~okptx1O`JY@!EX!%mUbB2b<%**&sGql!CQkMDKGM+y}bA{vZL_$51w#K*}-WK`G_@GxH zi8;TGc+&CNWVzxkT>h^;JzM0Yqt(*%se z%VOVf<~1$SmayR872&U=qB@_Z?6r5?`MTDR6)Zr$bFDAWe_iXy>O^4_%m0uMd_%jF zB`)Oezo8AZl`Q-hS+nd7Z9p&%c!kf_N{~?egjxNzmJ{fkep#4>UQHm)$DT&DACW+r z*V`JI{t4=xe&ut_^7pkj0-5KM`RIJDsp635VMSmNikSk*lNmd{;0Y<(oNEvIHeaBT zCa&uOtyN3J{P0SxU`F5%Oq?=7WFmA^@n`0X3$zX)zI^7$)VnfGOCqnO&L3$mT+KT^ zg7{|ho^21N-Dwt`f3Hb1cP-H#WA?++!3PSrW)>)|U=%3(0g=A7IOPXEcB$4LmpMzd zk8o+ROpC!~;4*L9H;cz%rgM~;mC|J*Hva}(rI?L?0LF=Z3 zWn@7e)7L1^;?p-m>P^_d_ifbrvg&na<4szk(jplYX{BsLBe4l6WkDlP>Ze8qaw%kG zW22I?fmdWhqE6Y!_03u?%id`2+pJ9vWceF;zwKJzMH z#q^FJut$OT6dn(hF2pH-V?U4hnEX_P z5Ff-T2*b0DxBf%xPN%#c`U4Gfw*S`+xBQ`XW7XSv*Rxs=9=Xq{QidBGi!m}y6FAK2 zx{ExyTAQIJUqa$g(G3);r|P1qozsf{t6}l?Us{U>!vZov^aX7IOIXMszn~3urY!X8 zTcbnprD6~&7E5yaLY{X)d!FK&clsM^BI22k{9Aj5rTxTn|JLqT^Zhr`A<*)LW<;%a zXIF2)mUc4ONiPVMh-~pnTiaW1so&h+3$byV<^H_|W0qZ7qFsgoHLj%#pIoe0X=;I%@ED zciZHVB-$F72-t&z{lKLNR$Kr4G3qX1k_a6MLd%1nVleq;5}nkQB$NF!Desb*-NQCM zWMI`FkZTa)h%U4+VGEeKA1nqNJ#3L6J^D9`7pO@yBk?RQB!-HXd}Lpn9-lC_fE&Js~KX1>2qe*lJyJz2wHy8H zcrtZRQ`P&s57UnfGs=I(#UlE{s3b;sZEvE>@Vg;yHM}(k34ic~8r~`dfg9Y48}YBWlX)*Hk~D|&bPgKgK@LDB}>2FZ+a*INs~lwmDUeAa@?gKg4UfLRW212Q=v0p16<+uS{U zmJVrJL4|1IPqK&I&A$@gnYeK)`sEava-oNn>pf083)`+Lb|XgVDRaV5TY_R0Q69bi z>g`03$MbjCx^}9M2iX|P!1`E`Byxz7)Ivh_iXf;XhS^G)EBgwD+<8PPASs99qT`R< z$Vc*v;kH>Ult$sVvRY`8iv8w0{O;&=aV^3)k) zZ6|N|j29mMFZlM=hyM${-F@7R`L_AwINQ^V<(@Uaf7G@V*1!sL@hU2AspT)JM` z&8uFw^k!O5TX~m6n+VKs zFwvG7*+6X(e2wOulotGLTZh(t>jgit|EGf+X%|SMXcujvj_HN%3+(vafX7s*mj$ut zUtVYX$oruoFH&O)K7s{$&3fA;L@%WUx;HQ|;`hR(;0u29-j+A>hq7$#D0tZHESuTL zYww^a3J^^m!6o$!zuUB7$9aZ7W7_txtTX)PT-$T3;54@u*uq)KY4gimTSZtGU;G7O z;eP}VNIgR*O&-FQA`l@&@Q04J!{kD`eFV_l6@<$HYMn7C)VvBI8xmbZ=Yr;Yt3Kawt zLr_DIBTq$rJ@iFn3OODmtShi#b`l!~qXtc)Ln2~=!8b@XV&DKebz-B#_|UZ`?|s-7 z#gcN(v4?Gyp+l~T{Hb8jCLAdDCVW(cg<~F-WwJ+6ew)g4!jha>gs@S3iNABq_9R=o zh@UxT`+#L$Uwk@{! zgq~nhJNh;Tzy4_()L>%}Ogs`BgGiq^Q{VW;8v{PR#`ZQ#+r{6!V0)IO@8YK~*xsNB zY0vy^i{lsmvL)W2(nRGJ<$9*M;cuJE9C*<-x*^l_T(a#8Qa)Mqti45i4rB`2bfVu8 zj=83U7zLGTF~;gk6uYjzREbjRAz#b#Yf3aW>suCIQ-WQ}NKW-VZ_pGF8SbOfyCF#g zfT=0<)nJlV_+Kl!A$4p@>mOB8!tYn?Ibk_?F(Vj`hNjBweS(^oVK*dH#bm6^DB}-> z*k45Cxo<=4cZSp+Jcw|7CEOiq?-WvZ7=?@@{O(YD2U$~agufMP@4@Qw_~uZ1468ZJ zOG52!S>6%;PpI99&%20#lN}*~Bsk#EM1=~_@G5vI2Vp|Y%`CxT{JUM&k^f!0x>9eu zf0z=A*q}zYDX|uzs09n+pM}}?uc{FLUi(!!-LqTPke~nyDuu zgtib8b;fbNM6-8scveCUxG6FgSvdk}x)XmWU&(*g>}y$O27k|HZ)MNTK%4wX@5t3suJ>W~~Fuw%#ue(z40CAVRB`w3e z*={ei-~|@D>?@;+$al(RFcO$Uj1~SOgy0Y(qloWv*?TKR{Ibjb0o1+kyY2QMmh%Bm zZ)xAtwGvu*yf96Z^!X5mz9wsU0aUgW7@iOfb-O9^O;42F(wLQ><)3u0KQ%4^+7Nm% z5jWG0t)yH)Ad}HgOG2$23luZG_z#rCJRn(1l!S0crE;pHl2LsYAEK|3Rc%h_X!j_# z%wqcKu)d4^8l?WrVpHvGFH!uV;GpyTql>)^j%43=wcjL?WS6Ys!QJdV1YEp|-`dUo zUhp2QHRXHwo^JM=l|4MLyS)o8J>}(z?)LF0?e1=mK?nWX-TqV~mOr20-QRxN=2dHF zQ(p+RHc~R(Ilw;7mb??|R8C|^3q>~}4Y_A0HwV~D)hwzI!hd|{sXo?$=OSh>I-9bvy0mrWz=E_UHOpD@ZEi(=IX`#ng#+V38F zXO&L!$p;xna8$CAzj}|o8xCLM&fEF#_t=|8poIv|`)T8tKsE@kbJI{5-Vu$qQV;}3 zsU(VR`Qsz)ZI#nRwu9|vBdG!9Pm!atk{67$cVo!L{MZQlVBTkxy=izN)Iazr#zN*L z-A0m5N#x^4*;`TL-$&Tn@b#m>u-^WY_rJ&9-q!XS(hC_#o9eyx`vUEWn~2>un3@<$ zJozDeEKhsDe!nFr^C%xV*4~{*jj<1Hmz0gK;pH5xJsXDYm~UwlG34tmVieVGLiW?K zb~j%=#y&12SsKU%Eh1Y$_K%C zlRxK?580uk-%i7@!P~){KKCK}TMR3Iqp|ke$n<^BSo^DO%S=q?>)yJU7Zt+j2jq|n1mVEm9stOn8)l3;Sao@pLyJl`~>^W*T&m-L0_7|2TZiz zqQP&IzH8v{z<6x2FoIX{cP1iybH*zE`9ymUhX_gZp~V}SDHKhhM8S-E%03{tY85)( z%8iK_QZGIQK2x^JeB~*71#4c6u`2u@;6G--)HQjMz4P@*bM++qoIsYkk#~H~-i3KK z@^R1EM?F!t(KmC1L~F*lkt2Yus`GJFWT2D#r*E6 zU=_vu`Kk7?xD-ysz-wJTU=KKQbIcAzU~8AkWNcDM!0__^2YgDt7ce&k;cx7mo~-LKmd zSe=LOeck?Zm?u+sToq*UZEx7$h44M#P5X{elDDl!&e!IZH|+_HY=6$e2Wn}g&IZF3 ztUY5kU+}Jd2uqs7OW#GOr_C`#lkA6;drOX!7=u?NbrN850Ju&Qb!IJ^c%2@qyiKIW zN%}_A5ecuJE8zt1`o3MCka7aJ=EicmP|yLawL+^j>wrMqNUP*ieC0x^2CdTna4R35 zqw9JG(YG4cQsw*b2C>_y%4QVGH;})N)MZfD;gcxFo)c#9T>I`xtnyQ-OQ`*nbP3~J zNyMrD^3=b{y)Mfia z!D0Du3pC=4;zE8G)7OXP(ikPq!CwrDba1;xhn?sz{;fqvtivU|(xMNt6(JWcb*-_?OLaN9j6V-b^3dwUWFsH${?zXCQtahTLse@n4AF zFS8@4fK3Ru%*=KCzUKO5a)0=|xqj!M`mED1P0%_YfP>UD@hC7tSBUAMz)mkh%+URl5Ks2EKF952dX)7diF83YNGZTsjg#X7ZkHeWWc**h4W8r4CA{9TvIu zPCaw~T|oj1W%Zz6jjSFxf+Xf4cFO2hBPY|WaqGVYwa!_O5ifMX@YaSGYrFdO1yi<& ztcV5cw@2$U8B71gOo-JtBjW5wX3sc1g<;MYw9#W&))M|(8+|aP`0mtJj|2MNwtA~J zDIe22B;pn5byMh7WQ2!g0ux30HQchKt=_-4j~9<t3 zhe6lW4E|FG{ay+a*R7+TNFQf=M}4&S<1|?0pc87*N$+TrALrVNah-HZ9b>-MNzY`q zqR&YtXeWOtqC$~6saIEhsIBw{74y34ZM`0~*WU3>SG_&uH#y%`pU28m`K)fbi&dxc zkGtu^BN{}tM2u!~8jKEwg++wIRJvnA)ve}_cSne`ghl48?)oz!(J4s>@KP}B%E5-w z?p1gSMKGehhW63dvg@X^S=>)=(F8K)A9v`jaj_56d*E`%FnuB<&a7cNBG}`{jk;U^ zut6qNT78i>_59s>H-?m=aU=9DYVp@#6Jmn}e@jYi`osu*2}?P~uZ+O7FZ!8}zejJy z2i>DL)=O|U$=j5Mjvc#)T2bGHB^)zT?$HNY$Z2-}z4~~T_paIVK3!u%PX2ulA3j>Y z1ur;fwBA*|=iU73XgvZi8}WdCkJ3D4fmDZMz9aei0`t`|`g!uaJL7OvU2Lt^ml?*owtyM&j&tS9=m@#Tlj z=Vs_GL>GP6eD@W7uwUU~p=4EMBxrgv&7WS^7c*AUKu^?MEzcXHs?dH!e3bqP+x<3=Bmzp;br;ir0XRk`oYmp&m*)08AON-U= zbVCq!*J>?PeMX|h;1EaRYM%JEepA#U8RADMPf2?BMPU*GZrLJU`nLYOkB-Gl2kQKW zbe?=i-|VB~-)Q^jh>bYt^n6#ZQ&M@iB;6IY5K2oJa+PUX)e z=`)oB{A7~;2qwt*YKI$_N8i&2x4`~e-kCxWohO7w(R=4uZhb#eHxIn0Ph+g6gbz*D z+p)w${KaHF&7E<`zjqKODEPVrVR218#AD{^_o|ie3(t9}>okzhX!bn)MQf7JPhUar z!^2=Mw|t;~DI3G>9H&NU+P}|BKG5HijmbToe6^{u`NVvEveNt$pKwkJ*3wVRA5-+F zEZq|qz+J>EzU3UiyFmhkLsmocT@p3%+5%G+@TsY~9-mG)T1?S-S%B#_uN~6oG@D0F zFs7+Vp|^=}2rU&Aks? z3z=@ghdg4D-UmlL%rT4fjtZjKnsXNG9|l>H-Z{XVeWd?n{^ik6Sy;x`$cdzHsn@E4 zIS8#Pr1Vvv=+z;qCFqD>B6<1Z$Y?Wfg+4`TxQ1B78e*wmLvG8EYe;&A-kp^%Fb`(v zYlA7v*39+#v$QHzt=A{9!gJ=BEPbTHa^B%i9lm8C#W5GmsFaGqvKWT| z>ED_6ZqvVMZb?lz%DaB8M_4=n`h2DTc`atd*ZSFOF^_(uf6prS@D7FgcP!-_^FpEC zDolmC76*2df5N2zi6?&M?GNak)#E6(Gmg_`IWuPcYCe5HhqF)e4*vE*y%WpZ!M7eH zQEvyYJ*anWU$n#bT_^z~y3<35HExQAYmb37g$$SQh23F}D$%n88FDbPAM`XvFE_WJG*!ON8GH3N_8KP!%m3@@jKx{yu_(;h$j9bWsR-r89p57t0fOV56myh^kxbo zRPFsq4_Cf3PyM9NV#+t>lyZG+kT1Jl-A2CWSN)0B$(tZ>cpWH$#nCUX>z!cK!dUOw zWR5Yza{@qYIa`ls=`~|FznFoFMfLY}EYqA7{T?vhO3LT08rNft@S2Tb8Fa6=LEIijhMz5dv zuD|rBvbPVCijtlvrP{*A*}(ppYSk26Tvp+&e+Q~;bl+l#MuSG8;?*?c&sma|GU^*%z>Zeuwtsk=Jjak6zHbT2e0jz<;XMJ6h5KG`^_6WXT6G z>!NOF*%$cNf9r2r(q)Tgt`3q0YXuCvk$CcmghE3Q@F zLV^-b{&X^yHp{($apgH3fA4!!+iG@{WQxs%r{-t+t4z66R z$xnB3cyQ$O-Oi47bPjcMXU9xRaMrzxW7Lh&?aa|#9p54a*$Ff17RQfRg6hn=-i`+u zCFXgcpW`-`|CjkuKSx`NsQ=|Njt;DPF8}=*#{gD5mv@@vnBv#(()OLgFBW)kI6ASkrDm7^INBn+Kox&*vST1i|AwbccEpnz*geHD;g(;&63)R! z5KTslQR*#H&IlBL_7+1L(}FM51hjrve{F7_;#jGKW_&FbEPTNWjuNxm^Nws5T{lipy(J7nbYk-2sgB-iAsYEKGZ2oG`LL;ut$q>QbWC#~3=3it4ta~)5!vc3G|T*oF> zy2s?nj z*wMNvc~{3YgL8s~oD+19V;dzZEAlw{v;1tc(Nc%QqShS53k$tDxqK(0r_vqpIM%$1QwRro&A)P04h$^b&XTj7*Fl-06D)T8^Uf$hD3I6y*Q-TF1xa`X0Z|(V1M| zH?DKcAlLUc>m84`tRqQD*a>N#iV|4{qnkxHGpg5`E7v=kP+C57bC$y@^79pJ!0$-^ zjGx`$$N&dT-RM}0%dMLnHDSfsm}l*bg3Y{Nw&QMl1-#FVj@VLVVsD(X35A?b&5yGk z+k+dVJ*Mf7w8s-nN1HbF?r9W#$M2qoNkdt=z53dHd{&))m-)Bp*vKN&cVLBRuI`P* zYQKqKxuV0jI%kLZ!?o>RN1L!Zw1jFNA#}#G{0>MMqZ!x~hwg)=>yyELMtRq+y~$;+NPBd$S{E=JV`}jycE$((96A zxU$+zxa64Ectl-wX@frT7pPDC1@uXM0ew&DIl=%J#CEl51KHE~u7 zj{Q$l=Rj6gW!~D%xfWi1N&J-R6gjHwR;S2Obq8IMqw005Q{<@HVs-WvIja6}IzJ5} zht>5hoKN4Dk_B!nbnwv@1Y5vj2!9ocgRUq5tcEO&*o(jfPIcL`4g*-80MF0j_qTL@ z6JEFpbD@<{g^RR1rEUb`79)2P?-k`d;q)FaFqEl{>0<@bCd4=}k9Uc7K7ig&jCOXw zWmB}XhGi@^SH(EnD7IWIu|_XUXE5gSOAuG9R`Z`@op-b38s4dub7=Fl8gG}8@+`H6 z&uZoTk0oW+ao)L=bBOAhC6h*zLd8g%b$rcjaZV-rIPESKnKTPu?L>njM68PM_!F(2 z<mQLd_mH=MN2L2}@QA!W zea-NQqIdcJ+nt?R-Mfp2INOBf;VwfrO6KyeL!8~OrC9dC|Ak@|?m}uXBp?$M(~HQi z<7Jd7{sP`H!C$~TR)6nnhIcIgo{t;q?8Yh!`MjadR*kaJ(m*(hq91s-Ax_=gH`HlW z;*-cxDiz_TiF}|zC*hzQ^g9(5tfRhc;DYV*!V!ASBGfjp0+1I{^?!Rqt?vd?QNrSl z1ZF-y%o%MVgU+ns&K9irJWn6)>}xC8Oxs>4`bbhDVsULXtea_x$y^JyzokiI@PBcE~}4eC|rC!M_1_XZw6l;wx={7}(Sv%?f;FBnVB$DeZ^ zQgFTL1!qrYuh&J#Kt+iK#;E;-zd68PlC>tckyRcM3+Tg!rb{oUfRxUUrs*u%t!&jklaLS?&Ag<+q&Ekfs6&xo0`M zvaC;e`Yh*4R=$%DneBX;Rqr(OW;+u?v7H()*BQmq-{+6b#fuluHB;w0J1}~(iT4<+ zgnhUZpS6>bzmqqe?|eP1n2LQ6ncPg7?{o*T%Ef$rs`DXMw3r7iaz4UJck?F~Iqz*E z&PE7Vp32>3{vu~KW2G6iJ&n*2hliXC>o=&uM&>HMBF%{u*%^Fanp3wYUAOgwPt_{q zyKs)VIR`p$KSRp!7ckT63t&j~1u!HeZB6_LGY!}6AAunikRCnANM3kWGNjs%oIM(> zJwrZrF4CeaRuU&@U=sB)AYl@%TFEbMaE`=xyKAF!K_DypivP65`6WwF=IL9VaV#a7 zf4$ZDh^;^v>#se(cT%5bdt>ZWC zbH2$E=kcxkoL{l@zxeCL&fYBhFEgjusVNva-|Tm`hl}93{Z2iU(6DPM=lYZ$>AT71-mYgII1V*P881oVNul zTllj_od#`1Hys7rgzA3pQKwMd|8~^b)tdE>?|2{_6I&X2|CnumbiTvdB+wCA92~ab zcp4lw!01UnDW~Ja!eU1|k?IZR!JnM>Dx~jdbIkc3tN4v?KITkdRlo6`<<9oj(n`1z zhfu6R2vfnvu}c12xid=jszri*Y7x^@?rar`C>Z$2U5wlUURddD#|jI~^Oa5|%$B|y z1K16L06kGf94v1&4?d6In7hHe>AZ8e@-GLj`F}dc1hL|;_;0n&uUR=Hwu??TE6y;# zyXb@s6{>`aOW<)(CA7W_xifj0`RHXQG9aY##dXfw>&fxQt~y)tPycaF{#Q-*+Gq#A z>x%QG8`m7a;(YG<8WYskD;CeG{d}|Hx|L;};&&^qCn()Uw&EH{={C+Ot}d+f3Xfr~ zUOf_$phe3FqC^f%h(ry`m@3!Q!}&fT$$Xc&BAT{ZA|2=`%_AJ9qrDE(MpDY3VwONR zl)@)(jBFW4winf?MSJuyrjd|UfVYI^nYgp@72P4?y$A(u z85Lvv3fh0DC^XL)hCX@}hh-szAVCj1Q7=6-Fjq&qmMNB!9Y6BlgPkt^cuQA_UcWQI zR0#0x3x-9x?zCjDKFfdE=4#2eM7jFf3ZcvqJGp`Q78H1}Y>jy}%5|!Fi{w-(atW6W zdc5?vp&wS0%7ePO-t^h~#JLn<)KAVdQ@gop7%P6;e7~owcXL*Llo#CQTJCGA&=>R2 ztf;8wGX`TIRnFx{2fHq@k_vwKcGnb^y_ydm;=14WViu#pi>)2vni||F`E5+F58%e} z4v)LrwYXuq;BHrH!}1d&T-|Lo8J7G2(>AK81Rt|ib3IM9#kXL!;2{Y~?SE9n+J7caJ z>)MEo#2Nm}W3D)J=A*6&3M)BdqEy71Cez)4MkOg}&hVWRTnCz#VgdJ}((%+MTw4(v z?N@%!MAvay7iOg4VP(IX1DUJWTQ@W;t>AHG*=X#JJl5ypVVM8Wgx-<6g%@8q7b?csD@iED2WKg8@37*C{IHa z(ru#ECk;_h3IesdAqu%E3Aer>jxzj)7}*U`VFIMM7Y$KO1gd~g zf!?HFO^s#(Sx89!;WXF!CY2{emtu}r6+m#Yp&T% zMQdhc;1gDz^e#h&sC*u=f^1!#rMO7j|F_ch-ov4ad!kph|;8y~qT}Ta~ zq)nunz)B1DglHl6KlOK2{*QN6d+##azu|fTQJBy2C2xXbrc~24j$eAyT0w5OxJy(@<&^Ya{RJ{b7smru46csahgv} zcAW?-J}qvpIc+{V&ov;BrJOW93taaz-|@u4lVF_!MKn`-GW0y?vXuDJ*ado!zMFdhdPg&{cJ z4FteyOrL^N<`3zvI~|tvGy93@#_{J@y4u_Ph8FNPudRi@ZMtq}S?l=srYkqBW(Rii zu}0FTJUQ2u!AchK9y?sCErrEplFHQ`uJ*3-V*Fe(*MLgee!w;OgRK%jx7yXWzU^yy zc;XG(@}G9P4*FJuIDX_)ki5Za013)R(z|lRaU%J@Z5#2~|Gw>KXzOGA;%E6*q>G=q z`Y~*YZ_0PIg~IO9eAiQiC58} zn~{Ty99`Z!3a;{}_PKC=;UB(WpX+nlqu*QX8fr@uaYL{5twf9;m$|Fh)i_YCSp?&Y z(6N(|pk@*Osl?UghO0}R!xQVlWyuRiAbiILagZxycBS!JE6oN!ejO1K}vpZwMJHkD8R>UtRs_=J^ibKzu%TSzFAWzqy7g;YAr3Ux+e-i??S85pJZe;tMNXvus)E($0jS{D3eL%hP$= zQ?41THql&u$~7j075`=aUG3_jXmlL4Bl-i+NctLZ`PXK@^RCrFtR}-e@we-Fq=w#S z-hasz%bw+};G^zx$C5RAIm=M!~NEBN31ZKW|nwuer+&i`qW(rs3`uA*^OCAAO&@oYidM zH{b8RpOwDP=icvb%j)LxocrCMlAU(yXm=!)=Z|*xq3d^}-D8_4CjTrI{LP}98wtt0 z#{=#O%(H|qdcZxhh+~-4?XFK2b zxO+L&7B7r=v zP!~i|xGpq#WCG|UY~*iEa3j=M79=zGLw;*Aofe|=)&)y>+!OAOEN3ad_X&49d0J?J zAVqO&gQD@clBIn96YjsR*+&N&&~CQF>`U9oKYr5v06pORlkNd{|85iAc8V`GbfUWp zp=V4)#MR=BJZ+-81FP7;3nsc#S@L%N@Kf#$mg3wW`TDoq;bz>^?#Gl)`A|}d86j+T zDgF|jLQ40Sn1Kj$oB76P+%s*Zbet+$vVkm$saku~95~4x);KKZA{a;)qv9gJ_a*n# z2F34Ra*ubEugBaImJ&lj7!9%Asa|gy)7?vyuwvL}p$e+qZC1SOZUy6T77u&H-40i? z=PT};m9P?o-U98!EOXkc?pnqWmHpW_+=v{KmB-h;<^GDLc=*(r?r|*T0N*>)Jrs`E zdc3=HSYYkm9|HLPV{V%{HpzVo8iC*V?eC+XQYy{q@4E{atC++4&U62RC8zfX?kL>% z&y$tK3XiH$T74J(0qgJha{Y49nllzufLV;@k4bsd$+N zP#Irux`(oqT=P%U{WC?)KClyC9sZS9ce;b&)m31I%-yw7gC#Du&^_*& zCGOoKcZ%}gEpgrV;zO7IV?MgqeTTwQ3i;}N?x|xx+l7x4YJ5c(I*`&18)$;d=now& z0!OGIxW2lw_h@^KLh5U4<&qWDuuUlOxwun0?*7L6*X4^qA~!w)w zneM>r`LoB|ud(89_;1JD-RP*KyWD+{WmcGfl)DFFzs7mj;}}6@obNmCZqH{QcgHYK znnY%#5we<`tG+zpZoxeJ%<>cNmim~a?_mA48CTCkLDdMK06k7d0OOBufv3Ex9%}I6 zMWE;#Vgpe^u=x$J^vUoIMf>9IKzM!DFh@pTbPr(Vr_6;H-7f^Oe8hRV>VCf|OZmi1 zX%fDIh1OIb4TwQJB>s;Y-iD8F9^O4P<-9-zNz@n3!*6A|fAXu%!=DJPkX060HD3*X znw8h^zt!-$WLr$MhTrNaJV$q91x#u-S*;ACsOSdVcuTF}4s1Wq@K0>v?YPSp-i+0p z;q7eUudpP6L!c*7Z9N^v?KaD~4_aXP+p zg-1}Fj^AA2QK95385$G*I|ZHlJ0`pXX8lRCRjcqYY+KLqE^*-wx(ygNG118^++^!%gv*E z8@ZqJ_j`wTwii-ePGoyaKum6MbQEezKj+`~4xht3xqMWg@YXD82Y;nc`1m%Y8^_2M z?yQ*4m470Awiq-560d1wdbJB(IRgo%s?aYqdGhOcwUv-ELLF2J0&%^eZ%Z5YcR3XfP}&pih2 zdkY*B=Yv!=6^hDvvxtZ{ZQd9UlW5A+QYeVD(|l<}#9PiH(&Dx^YH^XA18bAv+045~ zMs#K=tNHlIh%PK~4Nr+gdJN3^!pMlohz61#yy771A;PT?_#k*+jf{AbYyeNTjA$3N zPpZ%Tr=dysm&ffqL5HQ4#HtE8!yF6%)~eRqWswVj`Ykv2QTa`U|o5l>u4in8Z`)Rm4A_cKpAf3asociy~PL}Na`Q$!sR zi|QURy)n8H+Og;+2BM#F)X!{oM8n3K>=M8edkVL{c z=Y3BuE^SHa(CTHT_Dt{h&P-=Iz36@Bo3=B(PVcQC2n~X;gV4|*)gUT*Qi33DQ=)<( zI53&FsoI5CRa=*FGy0iV{{_>l)$-Nmthod~@{0)^aKshUiSa0sp@`WQ=ji$Zyk`DgD}@?CD?f*5x$&u;G_&+Mo!Y{6@9`tUvC|vV)Wv+8?3*L z@*Eb$Wnr!h{2w=1hs74s<1j01xcz5z6c|fqRyv-qx&ckzw96Si)OtUr<1Rk@Mys$N z9eX3jBP8~fH(IBAS>ZxaMfRhQ-DD**T>j-vR&P7pgEv`)9qvsxTMdsLE=;TBjS9KJ)e8|v&)$UO~*^mMn zDNM^I%urfPAbFh3yYqf)I8;s(oPU16nrwmD%i9lH$@*pfgVx(2Pt`wY{RhOlADs{X z(b_#oYx;%1@QAf9!AXx`0%Vmr6aQlUJB;-Ch)1n2TPg{>q?HT!JYVxSYlx+a{E~V7 z->mmoc6!`Bo&SE!ikHHwe&HWIZfy$9qQh-zxIBix|Ah56@?QUhHPOra@DtYcTJ{yb z;7RKky0oSLaO=O*TIhxYtk9@Qvs%!k*ea0Pe|hpF1AZpshNVE|aO=RJmUS*ZV7PS{ zzxgT9pz>P&##7dvq3N}dtkPIz(j+&U)HtpLJS1*F0 zmf7<~a8qrB?Rgm?f@!A%m9wyojn4Fstxw|w&(F@oS=NmhYSW#ICRrcR5=-VowC}7! z3gM7OQt2FL+!S<0+-za)2ZcH`$z=TA3AzkEaH{osC=>oM)%r^C?WI|;c8F!wb&!K- zq+yh_jDwepK|R%NlaW>4m`dYE4fh zHtGi?Ah&2>IfDQm2@U-yB(55Yrpr;9wP+`QWwtd%CiwYkU=se<31(9?t4y$BwO4|- zFTH@m^kYkp0#J=>>ARUkMs+l)i0am+gyX$xTy=(O-2e8SlaWbOYuVauymAT{kId@G z;oEr5gR`x{UDJxQF(F8rMw66`k-K2tL;DNDt9e|S&Ew`dRz+?X{m><$ z_2g)#9}?Cf4P|&OxXks{I}5GX46OW?R!3NE{2sUQ(s98STrW+_rk1bOvI*`)vHyts ziN1C5<^|T@@Ht&k!Vqh+8NG2 zEwVmljjckT`Dm1&q%7ZHP3!_nyXRZ$z%I9so`seSVIK>Cvt{KeQ4{$0k4}uEAkVrq4*zXB>LWBG?-jeZndUpnG2l9 zw_4w`Se*QSwpst79$*yLL$#Db$C~w(^UyZyeS}ZjVKw?VVQ(=l1$*BlYtVoFm&!*Q z=IBDUrlG9T$=}#vEx;>1i8a>C7N;{|C)k34%iO6WJ%${nB#MfI6P5B0c0md(sw$+NoFwJC!?cEI{l&+RS{FK|#nadP+LqZvQ6%koT}H6_l}1qVr8 za1hCnJnbmh#?XV-2eh&aJoBLSp*T0L*7c-Pcp4v>XPgZOt^c+-%nQam#gLMyDn`Y( z&i4*kODtOH$9&)s>r{HStKx|Dx!^2%sjA$@uYJGgVE$~KH95{yFMEmtU5qTs>N@L% zB&n+LGNClJ&%%T&oc~|Q|6FgqE#|^P)WLx{ zQ$H4tj6!E&z17`0CYMYO#adig9B*^x9=9e3K}W&M8m&vTyf64mr>roWoad}KWo-`A znm^-fTd*?ptS*TikoK+F%@ZzJH+yuybd-QpC(tZ6U9yhsX6l5=UwAvmUbY&+LrSus zGr5K>CP2CuH#suLQ=c$2rZT5iPZt+pFRz4U)HPg7x7z&zo+=c zKSG|}W&C22g4&N?I}yqE^NU|ao>Uvke^R^ohuA^~7Xf=+Yjjj!)ZoJB@J~bv6%-&~ z_SL1R{zMMJBDPhI5kgG!3?+7;bMq;HuMp$i{MpNq{7r51Z=zPG11D7!AYlHY`{pkM z`ueuj3s)srJY8Bzr6A2Kr==z*>AMF(V(XE#Jf7gmwG{rJDk0D7oj{5tZa z%n`qYcNl*gjgVx&_?6_Tu1IfcX9$^Xm^B7{Z2d3V@aGH!OqOj}IA*8UG|HwCZ}S^R z9$^@D5aN2kaU`bEhObfF2*3CxY(Wof)^7RQ~76HhC3h`6Y(2!fFAIFBZE;O z14N|!t6xQ)D*GHtKVbDm_6J-jejLlGLnsm$OUU7wLezaUg(@jj583@qF7NK=qz}KE zWf36pwt^fUg*oC2g?ancucPLQ{=YZhNg}d+Sv7%36(?)G zrq7(|NV)(2DSzs}!R4jsnS}pc`#HagvHeLKoVNwsJDPn?Koq?aj%DO8!3qqwaMK!$mJ=AKV$Jq>y<1iYiBu5Mca_F}XSe-T%iH7RcH2|9@%9p*_cvQy zRM919Yg9cONAX@sw%?dIt>_YunQ!am9Fb&;4GlUwWiQ@Yx5Y;NGNrX?a8Xm(HMTz7 zb+s)laES706k=dtBpzH@evK^^@3li6K+n)xSio%Zr&73U11FL$kTQ`V-$dfP%j%vc z6ae9Td8sWv8fVTsVcP`f!bGNgWPe+NPt~%g@rC_ub+I+yLd|wH=m(aoEo7ydUdiVS zuzjQzjpp_=TS9d4X!M?H!rZg_c=$E8-rVtoEm(6Mg?n8TA|)JKgJk^) z%a4O1oha{6Ioq8-r`cA+)^Dk)Nj zEBaj(1sjDwUuSzs3&OQ(WTvs%+ZLN8W6EkF3})-E8}D z@MQUFN(C-O6EioLz6zN)LC8$c@~4@t@8E@`g@|rdSokU{P+Z~Rr>j`aY(EC!AU+MX!OlIuw|&#Y zn~;P&X1me+_8~g?WB8!qHte5Ef<#2;VtZj5NBe~JNhiB)I_Yz5z4)!eZHAe+PlW39 z_7f|<%-v767Ro1HentoJMzxQFdcWN?@z_ecxN6`0sDUm&-A-e=J7}QtOYP^;*fx)b z1!%kzPqsE*qz|j$1Bctv0=8?TZ#}8J|9q;Q@|OFy7lnPot8VmNz0j`{$+P$H)&%K$ z&f~4$KAlzGQG@ta+9zIi2e|4h&c{Gl z(}%X}wc_nO=_A`Wff=HEDxWmUX7H;=*up&_qP5I>(`8yw_1=#pZLH@nSn z^9RS+Zqq8O`SdZ6fJ%1qO=E0hO$MIg9kvO~9Qz2wCdKnsPgt}Ov?Z1`*0xW>Q5EMu z$J?Sqw9N6&_omoJTRfX%Lbd0&IhHZOX`N<+{Ycd={;wQc-+l!Lq_)tbd(9Lcw3!95 zE*oYF!gg^Ae{j03xARbr?KxaMBzT168+CB2O%5E%nZ=))fic*a&-cx+O-lZRG~7xY zE`Zw;P^!McBl(oM7;i7X3ah^|ZX0hgHu|Z8^M!{hPUA-B^D}WHvPlMLCPpP;x;_BH z>+N~p;{iNZJ>};a?M+~+M{nnBuC1?`j1My34@nt6_>5n2O8cF8zIm0k*MR8(YMlLqUy43$R2-nwZtXBex?d+z0kb~!EnuQw<5bOU z#rtIM_DjqM*_ht8eOf+2ZGK*8dp;n2Z=A$mUu65%R4I!cM)49}-WKP5g|_aJ__bLt zWl!a!KC@jFn!XQmox$?=@siJMCynOy*xt{^hO^K!!{`Er(Lwj)$_nBpxF%}DVw+2A zX>sO%Zp*R+CSi34`9n)=7hvUkFHS@P;9z9${y1QZ4G(A&%h$j{+vh|i=!sjNzs2SS zZo8PrS1+~w4&ptXbsh+U1JEBJZ!3>jW_uJj7rwB}cAsT4|6-Z#Z?JxiD7KyMnlff9 zbO2%O^!Ef1x^^WT74$=v3y@^%SMnsMt$)g?Y7y{90Xhn}d=CP~vvCA^bQEx_(EG3o zQ~lFU)+znvqCM+lB-qc?W(kR1sP~#lPfG8OqOc_&%fe3B1<^CqPF@rf;Y6ux2tNAgxsST73H} zp+u5P4pQ;hd;!`?a`_(HfaJYMA(U+wpq+4{k1C2pf~5pV9nnsU_D`8qLLt~F0on;D z+Ghos8lYJ1S zvNx9(ks>TQq>(LloXD|oD?F7-vZ`7Ya`|X@MzR6{ z+R0lK@~0h0B7*G~pre4_{fHDpS-Aim1^nb&q!`As1ZXFqsP?%Z5HN-{5TH)VJPJoX zeW^~$Ju0hRM1vMPG#w6N(eEv*5i-WFfhLnDosvekW|he#KcRW+*=TY+z9c|9Ns3OK zSAl@hY^nh51U$3}Wy}!?mJ85MI8nxmIWj?_J){;4sJj+r{InJ!yR*x?0pdDJvSx)y z(s%6Ee*sU`HFYc0*quz|zZ*Ng1%Pzd?GlcL?G&3GAV7O0?FK9%J`v3d2#~nhZotx| zNGgOC3(!tL(LgThlmBY`jF(j4LOCMPW^ACm#dL73LkMf@mVszLTWejJ(93s$Bz_aB@F_@hY zpq+rC*3-^X;n;WrL<9=Rv6p72A_666Wv!cOpmk$A3DAyCpjwNrSzCq3-TY{PKg$!; zBK1l?W%H{`^GSFr z1IE%*im0Sd6nUQ^6HMA33p9L$cry(F!q_$e+6gCW{R4?}A#A?@9R=J;JUEn93D8bJ z(J^CYqSiNn17bLm_*X{X4Y~Ni!8#f|RWs$_Y1)hwqu37wIvhM-QUivtr2@2*wW!P( z;%<@bJnb-d*fTCM^VznJ2!QCB^m8bj|4e9Uf~S&>bI+-s*-BI=nyn+y9&r}!GmL;970Cpd0YbRBq{Q)q0&XO3Igqo1|iA_%E`)pm4N2aEQw&*0<@E}7+%LdM?k$} z{wr!dOV0c(0yJ3)$l=dmgm5%R7IMjjbc0r#)#$ly4d>UvRG>F)3k=LEpvn zWpc_Ba`>N-yroWnc4{ozdJD-zVQixS?F6K(`IP;(J2@FkrMl=mV0S-)8?f$6N)a-e z%^}cUM^Y(LU3A52m}wahh%%q56|1_`@)|UBq+jOl9q?3~tGY%Fw^6i;jbcp)2((i( z(FBL(BGqF%7l^BJ;#?A~!`V~<9j@WG z6KM=%l>&5>;%8LGa5jfPhbbQ0BeHf2&{2wGiByEKmSqGw3fM?`m{|550iix1#gd

SystemVerilog