Skip to content

Commit

Permalink
[uvmgen] Fixed typo
Browse files Browse the repository at this point in the history
  • Loading branch information
Dragon-Git committed Mar 16, 2024
1 parent e4ed4aa commit 9350cda
Showing 1 changed file with 5 additions and 9 deletions.
14 changes: 5 additions & 9 deletions src/uvmgen/templates/agt_pkg/drv.mako.sv
Original file line number Diff line number Diff line change
Expand Up @@ -10,17 +10,15 @@ class ${agent_name}_drv_callbacks extends uvm_callback;
// ToDo: Use "task" if callbacks cannot be blocking

// Called before a transaction is executed
virtual task pre_tx( ${agent_name}_drv xactor,
${agent_name}_item tr);
virtual task pre_tx( ${agent_name}_drv xactor, ${agent_name}_item tr);

// ToDo: Add relevant code

endtask: pre_tx


// Called after a transaction has been executed
virtual task post_tx( ${agent_name}_drv xactor,
${agent_name}_item tr);
virtual task post_tx( ${agent_name}_drv xactor, ${agent_name}_item tr);
// ToDo: Add relevant code

endtask: post_tx
Expand Down Expand Up @@ -149,18 +147,16 @@ task ${agent_name}_drv::tx_driver();

end
${agent_name}_item::WRITE: begin
// ToDo: Implement READ transaction
// ToDo: Implement WRITE transaction

end
endcase
`uvm_do_callbacks(${agent_name}_drv,${agent_name}_drv_callbacks,
pre_tx(this, tr))
`uvm_do_callbacks(${agent_name}_drv, ${agent_name}_drv_callbacks, pre_tx(this, tr))
send(tr);
seq_item_port.item_done();
`uvm_info("TX_DRIVER", "Completed transaction...",UVM_LOW)
`uvm_info("TX_DRIVER", tr.sprint(),UVM_HIGH)
`uvm_do_callbacks(${agent_name}_drv,${agent_name}_drv_callbacks,
post_tx(this, tr))
`uvm_do_callbacks(${agent_name}_drv, ${agent_name}_drv_callbacks, post_tx(this, tr))

end
% endif
Expand Down

0 comments on commit 9350cda

Please sign in to comment.