-
Notifications
You must be signed in to change notification settings - Fork 0
/
decode_test.v
78 lines (67 loc) · 1.68 KB
/
decode_test.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 17:10:32 11/14/2022
// Design Name: decode_module
// Module Name: C:/Users/user0/Desktop/New folder (13)/MIPS/decode_test.v
// Project Name: MIPS
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: decode_module
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////
module decode_test;
// Inputs
reg clk;
reg [31:0] instruction;
reg reg_write;
reg [4:0] write_reg;
reg [31:0] write_data;
// Outputs
wire [31:0] read_data_1;
wire [31:0] read_data_2;
wire [31:0] sign_extended_immediate;
wire [4:0] rt;
wire [4:0] rd;
wire [4:0] opcode;
initial clk = 0;
always #25 clk = ~clk;
// Instantiate the Unit Under Test (UUT)
decode_module uut (
.clk(clk),
.instruction(instruction),
.reg_write(reg_write),
.write_reg(write_reg),
.write_data(write_data),
.read_data_1(read_data_1),
.read_data_2(read_data_2),
.sign_extended_immediate(sign_extended_immediate),
.rt(rt),
.rd(rd),
.opcode(opcode)
);
initial begin
// Initialize Inputs
instruction = 32'b00000001001010100100000000100000;
reg_write = 0;
write_reg = 0;
write_data = 0;
// Wait 100 ns for global reset to finish
#100;
instruction = 32'b00000001001010111100000000100000;
reg_write = 1;
write_reg = 8;
write_data = 7;
// Add stimulus here
end
endmodule