Skip to content

Commit

Permalink
Fix constraints
Browse files Browse the repository at this point in the history
  • Loading branch information
gyurco committed Feb 24, 2019
1 parent a6876aa commit 9ba1779
Showing 1 changed file with 6 additions and 1 deletion.
7 changes: 6 additions & 1 deletion sys/constraints.sdc
Original file line number Diff line number Diff line change
Expand Up @@ -41,14 +41,19 @@ set_input_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk

set_output_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -max 1.5 [get_ports {SDRAM_D* SDRAM_A* SDRAM_BA* SDRAM_n* SDRAM_CKE}]
set_output_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -min -0.8 [get_ports {SDRAM_D* SDRAM_A* SDRAM_BA* SDRAM_n* SDRAM_CKE}]
set_output_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -max 1.5 [get_ports {SDRAM_CLK}]
set_output_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -min -0.8 [get_ports {SDRAM_CLK}]

# Some relaxed constrain to the VGA pins. The signals should arrive together, the delay is not really important.
set_output_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -max 0 [get_ports {VGA_*}]
set_output_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -min -5 [get_ports {VGA_*}]

set_multicycle_path -from {T80pa:cpu|T80:u0|*} -setup 2
set_multicycle_path -from {T80pa:cpu|T80:u0|*} -hold 2
set_multicycle_path -from {T80pa:cpu|T80:u0|*} -hold 1

set_multicycle_path -to {VGA_*[*]} -setup 2
set_multicycle_path -to {VGA_*[*]} -hold 1

set_false_path -to [get_ports {AUDIO_L}]
set_false_path -to [get_ports {AUDIO_R}]
set_false_path -to [get_ports {LED}]

0 comments on commit 9ba1779

Please sign in to comment.