Skip to content

Commit

Permalink
New SDRAM sequence, tweaked for contention, reverted MiST toplevel ch…
Browse files Browse the repository at this point in the history
…anges, removed obsolete files, MiST can now be built from the makefile too.
  • Loading branch information
robinsonb5 committed May 12, 2021
1 parent 480f603 commit 15138d0
Show file tree
Hide file tree
Showing 11 changed files with 154 additions and 2,177 deletions.
4 changes: 2 additions & 2 deletions Board/mist/MIST_Toplevel.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -215,7 +215,7 @@ COMPONENT hybrid_pwm_sd
END COMPONENT;

component data_io
generic ( ROM_DIRECT_UPLOAD : integer := 1 );
generic ( ROM_DIRECT_UPLOAD : boolean := true );
port ( clk_sys : in std_logic;
clkref_n : in std_logic;
ioctl_wr : out std_logic;
Expand Down Expand Up @@ -483,7 +483,7 @@ sd_conf <= '0';
user_io_inst : user_io
generic map (
STRLEN => CONF_STR'length,
ROM_DIRECT_UPLOAD => 1
ROM_DIRECT_UPLOAD => true
)
port map (
clk_sys => MCLK,
Expand Down
2 changes: 1 addition & 1 deletion Board/mist/fpgagen.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EP3C25E144C8
set_global_assignment -name TOP_LEVEL_ENTITY MIST_Toplevel
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "12.0 SP1"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:29:53 MAY 10, 2013"
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name LAST_QUARTUS_VERSION 13.1
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
Expand Down
6 changes: 5 additions & 1 deletion Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ SUBMODULES=$(DEMISTIFYPATH)/EightThirtyTwo/Makefile
PROJECT=FPGAGen
BOARD=

all: $(DEMISTIFYPATH)/site.mk firmware init compile tns
all: $(DEMISTIFYPATH)/site.mk firmware init compile tns mist

$(DEMISTIFYPATH)/site.mk:
$(info ******************************************************)
Expand Down Expand Up @@ -46,3 +46,7 @@ clean:
tns:
grep -r Design-wide\ TNS fpga/*

.PHONY: mist
mist:
$(Q13)/quartus_sh --flow compile Board/mist/fpgagen.qpf

23 changes: 15 additions & 8 deletions README.txt
Original file line number Diff line number Diff line change
@@ -1,12 +1,16 @@
This is a port of fpgagen - Genesis/Megadrive core to additional platform(s)
MiST, Turbo Chameleon 64, Altera/Terasic DE2 board
This is a port of the fpgagen Genesis/Megadrive core to MiST and
Turbo Chameleon 64.

Currently only the MiST port is maintained here.
==== Installing the core on Chameleon64 ====
Use the chaco utility to flash the appropriate .rbf file into a free slot in
your Chameleon. You only need to flash the core itself, there is no
associated ROM file required.

==== Installing the core ====
Optionally, copy the supplied autoboot.md file to your SD card's root directory.

==== Installing the core on MiST ====
If you are not buidling the core, copy the following files to the root of your sdcard:
fpgagen.rbf
Configs/VGA/FPGAGEN.CFG

Then rename the file fpgagen.rbf to core.rbf

Expand All @@ -15,10 +19,13 @@ The project depends on submodules, so you need to type in the following commands

cd fpgagen
git submodule init
git submodule update
git submodule update --recursive

For Chameleon, type:
make

Then load the Quartus II project file, and build:
fpgagen/syn/mist/fpgagen.qpf
For MiST, load the Quartus II project file, and build:
Board/mist/fpgagen.qpf

When you have built the core, copy the following files to the root of your sdcard:
fpgagen/syn/mist/fpgagen.rbf
Expand Down
Loading

0 comments on commit 15138d0

Please sign in to comment.