Skip to content

Commit

Permalink
Merged PR 120994: Fix for bug 532683 - SHA Accel direct access doesn'…
Browse files Browse the repository at this point in the history
…t enable ecc decode

- fixing enable to ecc decode for SHA accelerator reads of the mailbox
- adding 2ff synchronizer module to aid with RDC validation
- implemented new entropy src health test registers for github issue 190

Related work items: #298952, #532683, #532813
  • Loading branch information
Nitsirks authored and Anjana Parthasarathy committed Aug 24, 2023
1 parent bfab1a5 commit 581213e
Show file tree
Hide file tree
Showing 58 changed files with 500 additions and 68 deletions.
1 change: 1 addition & 0 deletions src/aes/config/aes.vf
Original file line number Diff line number Diff line change
Expand Up @@ -21,6 +21,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/aes/config/aes_pkg.vf
Original file line number Diff line number Diff line change
Expand Up @@ -21,6 +21,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/ahb_lite_bus/config/ahb_lite_bus.vf
Original file line number Diff line number Diff line change
Expand Up @@ -12,6 +12,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
${CALIPTRA_ROOT}/src/ahb_lite_bus/rtl/ahb_lite_bus_inf.sv
${CALIPTRA_ROOT}/src/ahb_lite_bus/rtl/ahb_lite_address_decoder.sv
Expand Down
1 change: 1 addition & 0 deletions src/caliptra_prim/config/caliptra_prim.vf
Original file line number Diff line number Diff line change
Expand Up @@ -14,6 +14,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/csrng/config/csrng.vf
Original file line number Diff line number Diff line change
Expand Up @@ -18,6 +18,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/csrng/config/csrng_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/datavault/config/datavault.vf
Original file line number Diff line number Diff line change
Expand Up @@ -12,6 +12,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv_defines_pkg.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv_reg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/doe/config/doe_cbc_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -14,6 +14,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/doe/rtl/doe_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/doe/config/doe_core_cbc_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -14,6 +14,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/doe/rtl/doe_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/doe/config/doe_ctrl.vf
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/doe/rtl/doe_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/ecc/config/ecc_montgomerymultiplier_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/ecc/config/ecc_top.vf
Original file line number Diff line number Diff line change
Expand Up @@ -18,6 +18,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/ecc/config/ecc_top_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/entropy_src/config/entropy_src.vf
Original file line number Diff line number Diff line change
Expand Up @@ -21,6 +21,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/entropy_src/config/entropy_src_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -21,6 +21,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/hmac/config/hmac_ctrl.vf
Original file line number Diff line number Diff line change
Expand Up @@ -16,6 +16,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/hmac/config/hmac_ctrl_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -18,6 +18,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/hmac_drbg/config/hmac_drbg.vf
Original file line number Diff line number Diff line change
Expand Up @@ -17,6 +17,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/hmac_drbg/config/hmac_drbg_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -18,6 +18,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/integration/config/caliptra_top.vf
Original file line number Diff line number Diff line change
Expand Up @@ -35,6 +35,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/integration/config/caliptra_top_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -48,6 +48,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/integration/config/caliptra_top_trng_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -48,6 +48,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
24 changes: 16 additions & 8 deletions src/integration/rtl/caliptra_reg.h
Original file line number Diff line number Diff line change
Expand Up @@ -5570,14 +5570,22 @@
#define SOC_IFC_REG_CPTRA_WDT_CFG_0 (0x110)
#define CLP_SOC_IFC_REG_CPTRA_WDT_CFG_1 (0x30030114)
#define SOC_IFC_REG_CPTRA_WDT_CFG_1 (0x114)
#define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_0 (0x30030118)
#define SOC_IFC_REG_CPTRA_RSVD_REG_0 (0x118)
#define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_1 (0x3003011c)
#define SOC_IFC_REG_CPTRA_RSVD_REG_1 (0x11c)
#define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_2 (0x30030120)
#define SOC_IFC_REG_CPTRA_RSVD_REG_2 (0x120)
#define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_3 (0x30030124)
#define SOC_IFC_REG_CPTRA_RSVD_REG_3 (0x124)
#define CLP_SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0 (0x30030118)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0 (0x118)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0_LOW_THRESHOLD_LOW (0)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0_LOW_THRESHOLD_MASK (0xffff)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0_HIGH_THRESHOLD_LOW (16)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0_HIGH_THRESHOLD_MASK (0xffff0000)
#define CLP_SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1 (0x3003011c)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1 (0x11c)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1_REPETITION_COUNT_LOW (0)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1_REPETITION_COUNT_MASK (0xffff)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1_RSVD_LOW (16)
#define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1_RSVD_MASK (0xffff0000)
#define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_0 (0x30030120)
#define SOC_IFC_REG_CPTRA_RSVD_REG_0 (0x120)
#define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_1 (0x30030124)
#define SOC_IFC_REG_CPTRA_RSVD_REG_1 (0x124)
#define CLP_SOC_IFC_REG_FUSE_UDS_SEED_0 (0x30030200)
#define SOC_IFC_REG_FUSE_UDS_SEED_0 (0x200)
#define CLP_SOC_IFC_REG_FUSE_UDS_SEED_1 (0x30030204)
Expand Down
24 changes: 16 additions & 8 deletions src/integration/rtl/caliptra_reg_defines.svh
Original file line number Diff line number Diff line change
Expand Up @@ -5570,14 +5570,22 @@
`define SOC_IFC_REG_CPTRA_WDT_CFG_0 (32'h110)
`define CLP_SOC_IFC_REG_CPTRA_WDT_CFG_1 (32'h30030114)
`define SOC_IFC_REG_CPTRA_WDT_CFG_1 (32'h114)
`define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_0 (32'h30030118)
`define SOC_IFC_REG_CPTRA_RSVD_REG_0 (32'h118)
`define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_1 (32'h3003011c)
`define SOC_IFC_REG_CPTRA_RSVD_REG_1 (32'h11c)
`define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_2 (32'h30030120)
`define SOC_IFC_REG_CPTRA_RSVD_REG_2 (32'h120)
`define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_3 (32'h30030124)
`define SOC_IFC_REG_CPTRA_RSVD_REG_3 (32'h124)
`define CLP_SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0 (32'h30030118)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0 (32'h118)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0_LOW_THRESHOLD_LOW (0)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0_LOW_THRESHOLD_MASK (32'hffff)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0_HIGH_THRESHOLD_LOW (16)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_0_HIGH_THRESHOLD_MASK (32'hffff0000)
`define CLP_SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1 (32'h3003011c)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1 (32'h11c)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1_REPETITION_COUNT_LOW (0)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1_REPETITION_COUNT_MASK (32'hffff)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1_RSVD_LOW (16)
`define SOC_IFC_REG_CPTRA_ITRNG_ENTROPY_CONFIG_1_RSVD_MASK (32'hffff0000)
`define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_0 (32'h30030120)
`define SOC_IFC_REG_CPTRA_RSVD_REG_0 (32'h120)
`define CLP_SOC_IFC_REG_CPTRA_RSVD_REG_1 (32'h30030124)
`define SOC_IFC_REG_CPTRA_RSVD_REG_1 (32'h124)
`define CLP_SOC_IFC_REG_FUSE_UDS_SEED_0 (32'h30030200)
`define SOC_IFC_REG_FUSE_UDS_SEED_0 (32'h200)
`define CLP_SOC_IFC_REG_FUSE_UDS_SEED_1 (32'h30030204)
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,10 +6,10 @@ contents:
tags: ["L0", "CALIPTRA_TOP", "directed", "smoke_test"]
path: ""
weight: 100
generations: 6
generations: 1
formats:
generate: "reseed {template}.yml -seed {seed}"
path: "{template_basename}__{seed}.yml"
generate: "reseed {template}.yml -seed 1"
path: "{template_basename}__1.yml"
templates:
$CALIPTRA_ROOT/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_cmdline_test : { weight 100 }
$CALIPTRA_ROOT/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rand_test : { weight 500 }
#$CALIPTRA_ROOT/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rand_test : { weight 500 }
1 change: 1 addition & 0 deletions src/integration/test_suites/caliptra_rt/caliptra_rt.c
Original file line number Diff line number Diff line change
Expand Up @@ -169,6 +169,7 @@ void caliptra_rt() {
}
// Initialization
init_interrupts();
lsu_write_32(CLP_SHA512_ACC_CSR_INTR_BLOCK_RF_NOTIF_INTR_EN_R, 0); // FIXME tmp workaround to UVM issue with predicting SHA accelerator interrupts

while(1) {
// Service received interrupts
Expand Down
1 change: 1 addition & 0 deletions src/keyvault/config/keyvault.vf
Original file line number Diff line number Diff line change
Expand Up @@ -12,6 +12,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_defines_pkg.sv
${CALIPTRA_ROOT}/src/keyvault/rtl/kv_macros.svh
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
Expand Down
2 changes: 2 additions & 0 deletions src/libs/config/compile.yml
Original file line number Diff line number Diff line change
Expand Up @@ -16,6 +16,7 @@ targets:
- $COMPILE_ROOT/rtl/ahb_slv_sif.sv
- $COMPILE_ROOT/rtl/caliptra_icg.sv
- $COMPILE_ROOT/rtl/clk_gate.sv
- $COMPILE_ROOT/rtl/caliptra_2ff_sync.sv
rtl:
directories: [$COMPILE_ROOT/rtl]
files:
Expand All @@ -28,6 +29,7 @@ targets:
- $COMPILE_ROOT/rtl/ahb_slv_sif.sv
- $COMPILE_ROOT/rtl/caliptra_icg.sv
- $COMPILE_ROOT/rtl/clk_gate.sv
- $COMPILE_ROOT/rtl/caliptra_2ff_sync.sv
- $COMPILE_ROOT/rtl/ahb_to_reg_adapter.sv
---
provides: [uvm_lib]
Expand Down
1 change: 1 addition & 0 deletions src/libs/config/libs.vf
Original file line number Diff line number Diff line change
Expand Up @@ -11,4 +11,5 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
39 changes: 39 additions & 0 deletions src/libs/rtl/caliptra_2ff_sync.sv
Original file line number Diff line number Diff line change
@@ -0,0 +1,39 @@
// SPDX-License-Identifier: Apache-2.0
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.

module caliptra_2ff_sync #( parameter WIDTH=1,
parameter RST_VAL=0)
(
input logic clk,
input logic rst_b,
input logic [WIDTH-1:0] din,
output logic [WIDTH-1:0] dout

);

logic din_ff;

always_ff@(posedge clk or negedge rst_b) begin
if(!rst_b) begin
dout <= RST_VAL;
din_ff <= RST_VAL;
end
else begin
din_ff <= din;
dout <= din_ff;
end
end


endmodule
1 change: 1 addition & 0 deletions src/pcrvault/config/pcrvault.vf
Original file line number Diff line number Diff line change
Expand Up @@ -12,6 +12,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_defines_pkg.sv
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_macros.svh
${CALIPTRA_ROOT}/src/pcrvault/rtl/pv_gen_hash.sv
Expand Down
Loading

0 comments on commit 581213e

Please sign in to comment.